]> rtime.felk.cvut.cz Git - fpga/quadcount.git/blobdiff - tb_qcounter.vhd
Naming convention changed
[fpga/quadcount.git] / tb_qcounter.vhd
similarity index 98%
rename from qctest.vhdl
rename to tb_qcounter.vhd
index 00ea7936c90cb431b2fcbe90c25856622181b2c3..439e4ae5e07efea066f97dca139142a31d44cba2 100644 (file)
@@ -10,10 +10,10 @@ use ieee.numeric_std.all;
 USE IEEE.STD_LOGIC_TEXTIO.ALL;
 USE STD.TEXTIO.ALL;
 
-ENTITY qctest IS
-END qctest;
+ENTITY tb_qcounter IS
+END tb_qcounter;
 
-ARCHITECTURE testbench_arch OF qctest IS
+ARCHITECTURE testbench_arch OF tb_qcounter IS
     FILE RESULTS: TEXT OPEN WRITE_MODE IS "results.txt";
 
     COMPONENT qcounter