]> rtime.felk.cvut.cz Git - fpga/quadcount.git/commitdiff
Naming convention changed
authorVladimir Burian <buriavl2@fel.cvut.cz>
Thu, 14 Apr 2011 11:55:45 +0000 (13:55 +0200)
committerVladimir Burian <buriavl2@fel.cvut.cz>
Thu, 14 Apr 2011 12:26:17 +0000 (14:26 +0200)
VHDL files extension modified to .vhd and testbench renamed
to tb_quadcount.vhd.

Makefile
dff.vhd [moved from dff.vhdl with 100% similarity]
qcounter.vhd [moved from qcounter.vhdl with 100% similarity]
tb_qcounter.vhd [moved from qctest.vhdl with 98% similarity]

index 0a1a4548b4381e12052d1be3e2837293c2f37cfc..2d7140795b48ef45c54ead107524d224a2b8d764 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -1,4 +1,4 @@
-VHDL_MAIN=qctest
+VHDL_MAIN=tb_qcounter
 VHDL_SUB=dff.o qcounter.o
 
 all: $(VHDL_MAIN)
@@ -9,7 +9,7 @@ run: $(VHDL_MAIN)
 $(VHDL_MAIN): $(VHDL_MAIN).o $(VHDL_SUB)
        ghdl -e --ieee=synopsys $@
 
-%.o: %.vhdl
+%.o: %.vhd
        ghdl -a --ieee=synopsys $<
 
 clean:
similarity index 100%
rename from dff.vhdl
rename to dff.vhd
similarity index 100%
rename from qcounter.vhdl
rename to qcounter.vhd
similarity index 98%
rename from qctest.vhdl
rename to tb_qcounter.vhd
index 00ea7936c90cb431b2fcbe90c25856622181b2c3..439e4ae5e07efea066f97dca139142a31d44cba2 100644 (file)
@@ -10,10 +10,10 @@ use ieee.numeric_std.all;
 USE IEEE.STD_LOGIC_TEXTIO.ALL;
 USE STD.TEXTIO.ALL;
 
-ENTITY qctest IS
-END qctest;
+ENTITY tb_qcounter IS
+END tb_qcounter;
 
-ARCHITECTURE testbench_arch OF qctest IS
+ARCHITECTURE testbench_arch OF tb_qcounter IS
     FILE RESULTS: TEXT OPEN WRITE_MODE IS "results.txt";
 
     COMPONENT qcounter