]> rtime.felk.cvut.cz Git - fpga/quadcount.git/blob - Makefile
Removed quadcount_tb as it do nothing
[fpga/quadcount.git] / Makefile
1 VHDL_MAIN=qctest
2 VHDL_SUB=dff.o qcounter.o
3
4 all: $(VHDL_MAIN)
5
6 run: $(VHDL_MAIN)
7         ghdl -r $< --stop-time=8us --vcd=$<.vcd
8
9 $(VHDL_MAIN): $(VHDL_MAIN).o $(VHDL_SUB)
10         ghdl -e --ieee=synopsys $@
11
12 %.o: %.vhdl
13         ghdl -a --ieee=synopsys $<
14
15 clean:
16         rm -Rf *.o qctest