]> rtime.felk.cvut.cz Git - fpga/pwm.git/commitdiff
mcc.vhd port definition corrected
authorVladimir Burian <buriavl2@fel.cvut.cz>
Sat, 16 Apr 2011 19:40:19 +0000 (21:40 +0200)
committerVladimir Burian <buriavl2@fel.cvut.cz>
Sat, 16 Apr 2011 19:40:19 +0000 (21:40 +0200)
mcc.vhd

diff --git a/mcc.vhd b/mcc.vhd
index 5cc1121164472a759efbe6508684efd2a3f355cb..d0ca2d9a639f3808259b3e123bc3ccf189d45bf9 100644 (file)
--- a/mcc.vhd
+++ b/mcc.vhd
@@ -28,7 +28,7 @@ entity mcc is
     PWM3_STB_O : out std_logic;
     -- Shared memory interface
     IRF_ACK_I  : in  std_logic;
-    IRF_ADR_O  : out std_logic_vector (IRF_ADR_W-1 downto 0);
+    IRF_ADR_O  : out std_logic_vector (4 downto 0);
     IRF_DAT_I  : in  std_logic_vector (15 downto 0);
     IRF_DAT_O  : out std_logic_vector (15 downto 0);
     IRF_STB_O  : out std_logic;