2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
9 --------------------------------------------------------------------------------
11 entity priority_encoder is
13 SEL_W : integer := 16;
14 CODE_W : integer := 4);
16 sel : in std_logic_vector (SEL_W-1 downto 0);
17 code : out std_logic_vector (CODE_W-1 downto 0));
18 end entity priority_encoder;
20 --------------------------------------------------------------------------------
22 architecture behavioral of priority_encoder is
24 --------------------------------------------------------------------------------
30 code <= (others => '-');
32 for i in 0 to SEL_W-1 loop
34 code <= conv_std_logic_vector(i, CODE_W);
39 end architecture behavioral;