2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
9 --------------------------------------------------------------------------------
11 architecture testbench of tb_mcc_exec is
13 constant period : time := 500 ns;
14 constant offset : time := 0 us;
16 signal CLK_I : std_logic;
17 signal RST_I : std_logic;
20 signal MCC_AXIS_O : std_logic_vector (1 downto 0);
21 signal MCC_DONE_O : std_logic;
22 signal MCC_EXEC_I : std_logic;
23 signal MCC_ERR_O : std_logic;
25 signal MCC_ACK_I : std_logic;
26 signal MCC_STB_O : std_logic;
28 --------------------------------------------------------------------------------
32 uut : entity work.mcc_exec
39 MCC_AXIS_O => MCC_AXIS_O,
40 MCC_DONE_O => MCC_DONE_O,
42 MCC_EXEC_I => MCC_EXEC_I,
43 MCC_ERR_O => MCC_ERR_O,
44 MCC_ACK_I => MCC_ACK_I,
45 MCC_STB_O => MCC_STB_O);
47 MCC_EMULATION : process is
51 wait until MCC_STB_O = '1';
54 wait until MCC_STB_O <= '0';
57 end process MCC_EMULATION;
60 SYSCON_CLK : process is
72 SYSCON_RST : process is
83 --------------------------------------------------------------------------------
98 wait until MCC_DONE_O = '1';