2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
6 --------------------------------------------------------------------------------
14 MCC_EN_I : in std_logic;
15 MCC_EXEC_I : in std_logic;
16 MCC_ERR_O : out std_logic;
17 -- MCC master interface
18 MCC_ACK_I : in std_logic;
19 MCC_STB_O : out std_logic);
22 --------------------------------------------------------------------------------
24 architecture behavioral of mcc_exec is
26 signal mcc_stb : std_logic := '0';
28 --------------------------------------------------------------------------------
34 MCC_ERR_O <= MCC_EXEC_I and (mcc_stb or MCC_ACK_I);
37 process (CLK_I, RST_I) is
39 if rising_edge(CLK_I) then
40 if RST_I = '1' or MCC_ACK_I = '1' then
42 elsif MCC_EN_I = '1' and MCC_EXEC_I = '1' then
48 end architecture behavioral;