]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-rocon.git/blobdiff - hw/lx_rocon_pkg.vhd
LX Master extended to allow send only each n-th receive done event.
[fpga/lx-cpu1/lx-rocon.git] / hw / lx_rocon_pkg.vhd
index 64821145b655875e15a33df7f9b611cf6518f8bc..3251cd66baf1495ff52e0c3d1e582273d0860596 100644 (file)
@@ -157,6 +157,21 @@ package lx_rocon_pkg is
   );
        end component;
 
+       -- Counter - divider
+       component cnt_div
+       generic (
+               cnt_width_g : natural := 8
+       );
+       port
+       (
+               clk_i     : in std_logic;
+               en_i      : in std_logic;
+               reset_i   : in std_logic;
+               ratio_i   : in std_logic_vector(cnt_width_g-1 downto 0);
+               q_out_o   : out std_logic
+       );
+       end component;
+
        -- LX Master transmitter
        component lxmaster_transmitter
        generic (