X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/lx-cpu1/lx-rocon.git/blobdiff_plain/3c55617b90ca2ea094d700b3c1733f371ddee48d..afdec19f7ed5e16dcac53e9d793ff0f23b5b28b7:/hw/lx_rocon_pkg.vhd diff --git a/hw/lx_rocon_pkg.vhd b/hw/lx_rocon_pkg.vhd index 6482114..3251cd6 100644 --- a/hw/lx_rocon_pkg.vhd +++ b/hw/lx_rocon_pkg.vhd @@ -157,6 +157,21 @@ package lx_rocon_pkg is ); end component; + -- Counter - divider + component cnt_div + generic ( + cnt_width_g : natural := 8 + ); + port + ( + clk_i : in std_logic; + en_i : in std_logic; + reset_i : in std_logic; + ratio_i : in std_logic_vector(cnt_width_g-1 downto 0); + q_out_o : out std_logic + ); + end component; + -- LX Master transmitter component lxmaster_transmitter generic (