2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
5 use ieee.numeric_std.all;
6 use work.lx_rocon_pkg.all;
15 reset_i : in std_logic;
16 irc_i : in IRC_INPUT_Type;
18 reset_index_event_i : in std_logic;
19 reset_index_event2_i : in std_logic;
20 reset_ab_error_i : in std_logic;
22 irc_o : out IRC_OUTPUT_Type
26 architecture rtl of irc_reader is
34 q_o => irc_o.state.mark
44 index0_i => irc_i.index,
45 reset_index_event_i => reset_index_event_i,
46 reset_index_event2_i => reset_index_event2_i,
47 reset_ab_error_i => reset_ab_error_i,
48 qcount_o => irc_o.count.qcount,
49 qcount_index_o => irc_o.count.index,
50 ab_error_o => irc_o.state.ab_error,
52 index_o => irc_o.state.index,
53 index_event_o => irc_o.count.index_event,
54 index_event2_o => irc_o.state.index_event,