2 USE ieee.std_logic_1164.ALL;
4 ENTITY irc_reader_tb IS
7 ARCHITECTURE behavior OF irc_reader_tb IS
9 -- Component Declaration for the Unit Under Test (UUT)
17 index0 : IN std_logic;
19 qcount : OUT std_logic_vector(31 downto 0);
20 ab_event : OUT std_logic;
21 ab_error : OUT std_logic;
22 out_index : OUT std_logic;
23 out_mark : OUT std_logic
29 signal clk : std_logic := '0';
30 signal reset : std_logic := '0';
31 signal a0 : std_logic := '0';
32 signal b0 : std_logic := '0';
33 signal index0 : std_logic := '0';
34 signal mark0 : std_logic := '0';
37 signal qcount : std_logic_vector(31 downto 0);
38 signal ab_event : std_logic;
39 signal ab_error : std_logic;
40 signal out_index : std_logic;
41 signal out_mark : std_logic;
43 -- Clock period definitions
44 constant clk_period : time := 20 ns;
48 -- Instantiate the Unit Under Test (UUT)
49 uut: irc_reader PORT MAP (
59 out_index => out_index,
63 -- Clock process definitions
67 wait for clk_period/2;
69 wait for clk_period/2;
76 -- hold reset state for 100 ns.
81 wait for clk_period*10;
83 -- insert stimulus here