]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-dad.git/blob - hw/tb/test-lx-dad.gtkw
Update DAD hardware testbed.
[fpga/lx-cpu1/lx-dad.git] / hw / tb / test-lx-dad.gtkw
1 [*]
2 [*] GTKWave Analyzer v3.3.37 (w)1999-2012 BSI
3 [*] Tue Nov  3 11:17:10 2015
4 [*]
5 [dumpfile] "/home/pi/repo/ulan/lx-dad/hw/tb/test-lx-dad.ghw"
6 [dumpfile_mtime] "Tue Nov  3 10:45:48 2015"
7 [dumpfile_size] 4081875
8 [savefile] "/home/pi/repo/ulan/lx-dad/hw/tb/test-lx-dad.gtkw"
9 [timestart] 0
10 [size] 1153 694
11 [pos] -1 -1
12 *-37.000000 342740000000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
13 [treeopen] top.
14 [treeopen] top.lx_dad_top_tb.
15 [sst_width] 210
16 [signals_width] 166
17 [sst_expanded] 1
18 [sst_vpaned_height] 186
19 @28
20 top.lx_dad_top_tb.uut.clk_50m
21 @22
22 #{top.lx_dad_top_tb.uut.bls[3:0]} top.lx_dad_top_tb.uut.bls[3] top.lx_dad_top_tb.uut.bls[2] top.lx_dad_top_tb.uut.bls[1] top.lx_dad_top_tb.uut.bls[0]
23 @28
24 top.lx_dad_top_tb.uut.cs0_xc
25 @22
26 #{top.lx_dad_top_tb.data[31:0]} top.lx_dad_top_tb.data[31] top.lx_dad_top_tb.data[30] top.lx_dad_top_tb.data[29] top.lx_dad_top_tb.data[28] top.lx_dad_top_tb.data[27] top.lx_dad_top_tb.data[26] top.lx_dad_top_tb.data[25] top.lx_dad_top_tb.data[24] top.lx_dad_top_tb.data[23] top.lx_dad_top_tb.data[22] top.lx_dad_top_tb.data[21] top.lx_dad_top_tb.data[20] top.lx_dad_top_tb.data[19] top.lx_dad_top_tb.data[18] top.lx_dad_top_tb.data[17] top.lx_dad_top_tb.data[16] top.lx_dad_top_tb.data[15] top.lx_dad_top_tb.data[14] top.lx_dad_top_tb.data[13] top.lx_dad_top_tb.data[12] top.lx_dad_top_tb.data[11] top.lx_dad_top_tb.data[10] top.lx_dad_top_tb.data[9] top.lx_dad_top_tb.data[8] top.lx_dad_top_tb.data[7] top.lx_dad_top_tb.data[6] top.lx_dad_top_tb.data[5] top.lx_dad_top_tb.data[4] top.lx_dad_top_tb.data[3] top.lx_dad_top_tb.data[2] top.lx_dad_top_tb.data[1] top.lx_dad_top_tb.data[0]
27 @28
28 top.lx_dad_top_tb.uut.memory_bus_example.example_mem_ce_s
29 top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.en_m
30 top.lx_dad_top_tb.uut.memory_bus_example.example_mem_ce_r
31 @22
32 #{top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.we_m[3:0]} top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.we_m[3] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.we_m[2] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.we_m[1] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.we_m[0]
33 #{top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[9:0]} top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[9] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[8] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[7] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[6] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[5] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[4] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[3] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[2] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[1] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.addr_m[0]
34 #{top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[31:0]} top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[31] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[30] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[29] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[28] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[27] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[26] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[25] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[24] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[23] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[22] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[21] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[20] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[19] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[18] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[17] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[16] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[15] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[14] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[13] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[12] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[11] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[10] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[9] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[8] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[7] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[6] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[5] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[4] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[3] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[2] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[1] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[0]
35 #{top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[31:0]} top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[31] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[30] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[29] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[28] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[27] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[26] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[25] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[24] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[23] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[22] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[21] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[20] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[19] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[18] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[17] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[16] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[15] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[14] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[13] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[12] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[11] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[10] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[9] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[8] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[7] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[6] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[5] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[4] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[3] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[2] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[1] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[0]
36 #{top.lx_dad_top_tb.uut.data_read_s[31:0]} top.lx_dad_top_tb.uut.data_read_s[31] top.lx_dad_top_tb.uut.data_read_s[30] top.lx_dad_top_tb.uut.data_read_s[29] top.lx_dad_top_tb.uut.data_read_s[28] top.lx_dad_top_tb.uut.data_read_s[27] top.lx_dad_top_tb.uut.data_read_s[26] top.lx_dad_top_tb.uut.data_read_s[25] top.lx_dad_top_tb.uut.data_read_s[24] top.lx_dad_top_tb.uut.data_read_s[23] top.lx_dad_top_tb.uut.data_read_s[22] top.lx_dad_top_tb.uut.data_read_s[21] top.lx_dad_top_tb.uut.data_read_s[20] top.lx_dad_top_tb.uut.data_read_s[19] top.lx_dad_top_tb.uut.data_read_s[18] top.lx_dad_top_tb.uut.data_read_s[17] top.lx_dad_top_tb.uut.data_read_s[16] top.lx_dad_top_tb.uut.data_read_s[15] top.lx_dad_top_tb.uut.data_read_s[14] top.lx_dad_top_tb.uut.data_read_s[13] top.lx_dad_top_tb.uut.data_read_s[12] top.lx_dad_top_tb.uut.data_read_s[11] top.lx_dad_top_tb.uut.data_read_s[10] top.lx_dad_top_tb.uut.data_read_s[9] top.lx_dad_top_tb.uut.data_read_s[8] top.lx_dad_top_tb.uut.data_read_s[7] top.lx_dad_top_tb.uut.data_read_s[6] top.lx_dad_top_tb.uut.data_read_s[5] top.lx_dad_top_tb.uut.data_read_s[4] top.lx_dad_top_tb.uut.data_read_s[3] top.lx_dad_top_tb.uut.data_read_s[2] top.lx_dad_top_tb.uut.data_read_s[1] top.lx_dad_top_tb.uut.data_read_s[0]
37 @29
38 top.lx_dad_top_tb.uut.phist
39 @28
40 top.lx_dad_top_tb.uut.phi_rst
41 top.lx_dad_top_tb.uut.phi1
42 top.lx_dad_top_tb.uut.phi2
43 top.lx_dad_top_tb.uut.sck_o
44 top.lx_dad_top_tb.uut.cnv_o
45 [pattern_trace] 1
46 [pattern_trace] 0