2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
9 CLK_24MHz : in std_logic;
10 RESET_N : in std_logic;
13 end entity top_plasma;
15 --------------------------------------------------------------------------------
17 architecture compose of top_plasma is
19 signal clk : std_logic;
20 signal reset : std_logic;
28 plasma_1 : entity work.plasma
30 memory_type => "XILINX_16X",
34 uart_prescaler => 207) -- 115200 baud
43 data_read => (others => '0'),
48 gpioA_in => (others => '0'));
51 end architecture compose;