]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-rocon.git/blobdiff - hw/lx_rocon_pkg.vhd
Remove next_ prefix from chip selects/clock enables to reflect real phase.
[fpga/lx-cpu1/lx-rocon.git] / hw / lx_rocon_pkg.vhd
index 59b79ebd04e0a8418f3c854025e3c2bee66e87ce..15cc6dbb6eb7a4174884bdb52763aa4d5724a44e 100644 (file)
@@ -241,7 +241,7 @@ package lx_rocon_pkg is
                reset_i      : in std_logic;
                -- Data bus
                address_i    : in std_logic_vector(4 downto 0);
-               next_ce_i    : in std_logic;
+               ce_i         : in std_logic;
                data_i       : in std_logic_vector(31 downto 0);
                data_o       : out std_logic_vector(31 downto 0);
                --
@@ -408,7 +408,7 @@ package lx_rocon_pkg is
                reset_i      : in std_logic;
                -- Data bus
                address_i    : in std_logic_vector(4 downto 0);
-               next_ce_i    : in std_logic;
+               ce_i         : in std_logic;
                data_i       : in std_logic_vector(31 downto 0);
                data_o       : out std_logic_vector(31 downto 0);
                --
@@ -496,7 +496,7 @@ package lx_rocon_pkg is
                reset_i      : in std_logic;
                -- Data bus
                address_i    : in std_logic_vector(10 downto 0);
-               next_ce_i    : in std_logic;
+               ce_i         : in std_logic;
                data_i       : in std_logic_vector(15 downto 0);
                data_o       : out std_logic_vector(15 downto 0);
                --