X-Git-Url: http://rtime.felk.cvut.cz/gitweb/fpga/lx-cpu1/lx-rocon.git/blobdiff_plain/e4a407ddd154d1ef0fa3dcb8ce17f26bd9a363e2..f78d0311f3719125dc63eb72b1c08acf24a893b9:/hw/lx_rocon_pkg.vhd diff --git a/hw/lx_rocon_pkg.vhd b/hw/lx_rocon_pkg.vhd index 59b79eb..15cc6db 100644 --- a/hw/lx_rocon_pkg.vhd +++ b/hw/lx_rocon_pkg.vhd @@ -241,7 +241,7 @@ package lx_rocon_pkg is reset_i : in std_logic; -- Data bus address_i : in std_logic_vector(4 downto 0); - next_ce_i : in std_logic; + ce_i : in std_logic; data_i : in std_logic_vector(31 downto 0); data_o : out std_logic_vector(31 downto 0); -- @@ -408,7 +408,7 @@ package lx_rocon_pkg is reset_i : in std_logic; -- Data bus address_i : in std_logic_vector(4 downto 0); - next_ce_i : in std_logic; + ce_i : in std_logic; data_i : in std_logic_vector(31 downto 0); data_o : out std_logic_vector(31 downto 0); -- @@ -496,7 +496,7 @@ package lx_rocon_pkg is reset_i : in std_logic; -- Data bus address_i : in std_logic_vector(10 downto 0); - next_ce_i : in std_logic; + ce_i : in std_logic; data_i : in std_logic_vector(15 downto 0); data_o : out std_logic_vector(15 downto 0); --