]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-rocon.git/blobdiff - hw/lx_rocon_pkg.vhd
LX master: implement CRC checking in receiver.
[fpga/lx-cpu1/lx-rocon.git] / hw / lx_rocon_pkg.vhd
index 78c3da2c83a595848a46d328d8889d0eff03a0a5..414947522a7ec274ee22c981f9817439a09fbff9 100644 (file)
@@ -217,6 +217,7 @@ package lx_rocon_pkg is
                sync_i            : in std_logic;
                -- Receive done pulse
                rx_done_o         : out std_logic;
+               rx_crc_error_o    : out std_logic;
                -- Register
                register_i        : in std_logic;
                register_o        : out std_logic_vector(1 downto 0);