X-Git-Url: http://rtime.felk.cvut.cz/gitweb/fpga/lx-cpu1/lx-rocon.git/blobdiff_plain/a367a6c99dde53db8402f78779c7144db4b767f6..430dc563689c3acb44b1cfb22607c4805cdd4851:/hw/lx_rocon_pkg.vhd diff --git a/hw/lx_rocon_pkg.vhd b/hw/lx_rocon_pkg.vhd index 78c3da2..4149475 100644 --- a/hw/lx_rocon_pkg.vhd +++ b/hw/lx_rocon_pkg.vhd @@ -217,6 +217,7 @@ package lx_rocon_pkg is sync_i : in std_logic; -- Receive done pulse rx_done_o : out std_logic; + rx_crc_error_o : out std_logic; -- Register register_i : in std_logic; register_o : out std_logic_vector(1 downto 0);