3 use ieee.std_logic_1164.all;
4 use ieee.std_logic_arith.all;
5 use ieee.std_logic_unsigned.all;
6 use ieee.numeric_std.all;
8 use work.lx_rocon_pkg.all;
10 -- 4 kB data memory for Thumbl core
11 -- To be flashed from the Master CPU
13 entity lx_rocon_dmem is
16 -- Memory wiring for Tumbl
19 adr_i : in std_logic_vector(9 downto 0);
20 bls_i : in std_logic_vector(3 downto 0);
21 dat_i : in std_logic_vector(31 downto 0);
22 dat_o : out std_logic_vector(31 downto 0);
23 -- Memory wiring for Master CPU
26 we_m : in std_logic_vector(3 downto 0);
27 addr_m : in std_logic_vector(9 downto 0);
28 din_m : in std_logic_vector(31 downto 0);
29 dout_m : out std_logic_vector(31 downto 0)
33 architecture rtl of lx_rocon_dmem is
36 I_RAMB: xilinx_dualport_bram
42 port_a_type => READ_FIRST,
43 port_b_type => READ_FIRST