]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-dad.git/blob - hw/lx_dad_top.prj
modified project files to support new features
[fpga/lx-cpu1/lx-dad.git] / hw / lx_dad_top.prj
1 vhdl work "util_pkg.vhd"
2 vhdl work "lx_dad_pkg.vhd"
3 vhdl work "xilinx_dualport_bram.vhd"
4 vhdl work "dff3.vhd"
5 vhdl work "dff2.vhd"
6 vhdl work "cnt_div.vhd"
7 vhdl work "measurement_register.vhd"
8 vhdl work "lx_crosdom_ser_fifo.vhd"
9 vhdl work "bus_measurement.vhd"
10 vhdl work "bus_example.vhd"
11 vhdl work "lx_example_mem.vhd"
12 vhdl work "lx_adc_if.vhd"
13 vhdl work "bus_sensor.vhd"
14 vhdl work "sensor_mem.vhd
15 vhdl work "clockgen.vhd"
16 vhdl work "lx_dad_top.vhd"