]> rtime.felk.cvut.cz Git - zynq/linux.git/commitdiff
dt-bindings: soc: xilinx: Update VCU bindings for vcu reset pin
authorDhaval Shah <dhaval.shah@xilinx.com>
Mon, 1 Oct 2018 16:27:48 +0000 (09:27 -0700)
committerMichal Simek <michal.simek@xilinx.com>
Tue, 2 Oct 2018 11:51:06 +0000 (13:51 +0200)
Updated VCU binding for reset GPIO pin as optional property

Signed-off-by: Dhaval Shah <dhaval.shah@xilinx.com>
Signed-off-by: Michal Simek <michal.simek@xilinx.com>
Documentation/devicetree/bindings/soc/xilinx/xlnx,vcu.txt

index 014168eb52d0367ea78d2443950077268d94b329..8928ff224465ea22d96d635418d05697a317072a 100644 (file)
@@ -39,6 +39,12 @@ Required properties:
                - interrupts: interrupt number to the cpu.
                - interrupt-parent: the phandle for the interrupt controller
                  that services interrupts for this device.
+
+Optional properties:
+- reset-gpios : The GPIO used to reset the VCU, if available. Need use this
+               reset gpio when in design 'vcu_resetn' is driven by gpio. See
+               Documentation/devicetree/bindings/gpio/gpio.txt for details.
+
 Example:
 
        xlnx_vcu: vcu@a0040000 {
@@ -48,6 +54,7 @@ Example:
                reg = <0x0 0xa0040000 0x0 0x1000>,
                         <0x0 0xa0041000 0x0 0x1000>;
                reg-names = "vcu_slcr", "logicore";
+               reset-gpios = <&gpio 0x4e GPIO_ACTIVE_HIGH>;
                #clock-cells = <0x1>;
                clock-names = "pll_ref", "aclk", "vcu_core_enc", "vcu_core_dec", "vcu_mcu_enc", "vcu_mcu_dec";
                clocks = <&si570_1>, <&clkc 71>, <&xlnx_vcu 1>, <&xlnx_vcu 2>, <&xlnx_vcu 3>, <&xlnx_vcu 4>;