]> rtime.felk.cvut.cz Git - fpga/zynq/canbench-sw.git/blob - system/ip/spi_leds_and_enc_1.0/example_designs/bfm_design/design.tcl
microzed_apo: IP skeleton of SPI connected LEDs and encoders.
[fpga/zynq/canbench-sw.git] / system / ip / spi_leds_and_enc_1.0 / example_designs / bfm_design / design.tcl
1 proc create_ipi_design { offsetfile design_name } {
2         create_bd_design $design_name
3         open_bd_design $design_name
4
5         # Create Clock and Reset Ports
6         set ACLK [ create_bd_port -dir I -type clk ACLK ]
7         set_property -dict [ list CONFIG.FREQ_HZ {100000000} CONFIG.PHASE {0.000} CONFIG.CLK_DOMAIN "${design_name}_ACLK" ] $ACLK
8         set ARESETN [ create_bd_port -dir I -type rst ARESETN ]
9         set_property -dict [ list CONFIG.POLARITY {ACTIVE_LOW}  ] $ARESETN
10         set_property CONFIG.ASSOCIATED_RESET ARESETN $ACLK
11
12         # Create instance: spi_leds_and_enc_0, and set properties
13         set spi_leds_and_enc_0 [ create_bd_cell -type ip -vlnv user.org:user:spi_leds_and_enc:1.0 spi_leds_and_enc_0]
14
15         # Create instance: master_0, and set properties
16         set master_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:cdn_axi_bfm master_0]
17         set_property -dict [ list CONFIG.C_PROTOCOL_SELECTION {2} ] $master_0
18
19         # Create interface connections
20         connect_bd_intf_net [get_bd_intf_pins master_0/M_AXI_LITE] [get_bd_intf_pins spi_leds_and_enc_0/S00_AXI]
21
22         # Create port connections
23         connect_bd_net -net aclk_net [get_bd_ports ACLK] [get_bd_pins master_0/M_AXI_LITE_ACLK] [get_bd_pins spi_leds_and_enc_0/S00_AXI_ACLK]
24         connect_bd_net -net aresetn_net [get_bd_ports ARESETN] [get_bd_pins master_0/M_AXI_LITE_ARESETN] [get_bd_pins spi_leds_and_enc_0/S00_AXI_ARESETN]
25
26         # Auto assign address
27         assign_bd_address
28
29         # Copy all address to interface_address.vh file
30         set bd_path [file dirname [get_property NAME [get_files ${design_name}.bd]]]
31         upvar 1 $offsetfile offset_file
32         set offset_file "${bd_path}/spi_leds_and_enc_v1_0_tb_include.vh"
33         set fp [open $offset_file "w"]
34         puts $fp "`ifndef spi_leds_and_enc_v1_0_tb_include_vh_"
35         puts $fp "`define spi_leds_and_enc_v1_0_tb_include_vh_\n"
36         puts $fp "//Configuration current bd names"
37         puts $fp "`define BD_INST_NAME ${design_name}_i"
38         puts $fp "`define BD_WRAPPER ${design_name}_wrapper\n"
39         puts $fp "//Configuration address parameters"
40
41         set offset [get_property OFFSET [get_bd_addr_segs -of_objects [get_bd_addr_spaces master_0/Data_lite]]]
42         set offset_hex [string replace $offset 0 1 "32'h"]
43         puts $fp "`define S00_AXI_SLAVE_ADDRESS ${offset_hex}"
44
45         puts $fp "`endif"
46         close $fp
47 }
48
49 set ip_path [file dirname [file normalize [get_property XML_FILE_NAME [ipx::get_cores user.org:user:spi_leds_and_enc:1.0]]]]
50 set test_bench_file ${ip_path}/example_designs/bfm_design/spi_leds_and_enc_v1_0_tb.v
51 set interface_address_vh_file ""
52
53 # Set IP Repository and Update IP Catalogue 
54 set repo_paths [get_property ip_repo_paths [current_fileset]] 
55 if { [lsearch -exact -nocase $repo_paths $ip_path ] == -1 } {
56         set_property ip_repo_paths "$ip_path [get_property ip_repo_paths [current_fileset]]" [current_fileset]
57         update_ip_catalog
58 }
59
60 set design_name ""
61 set all_bd {}
62 set all_bd_files [get_files *.bd -quiet]
63 foreach file $all_bd_files {
64 set file_name [string range $file [expr {[string last "/" $file] + 1}] end]
65 set bd_name [string range $file_name 0 [expr {[string last "." $file_name] -1}]]
66 lappend all_bd $bd_name
67 }
68
69 for { set i 1 } { 1 } { incr i } {
70         set design_name "spi_leds_and_enc_v1_0_bfm_${i}"
71         if { [lsearch -exact -nocase $all_bd $design_name ] == -1 } {
72                 break
73         }
74 }
75
76 create_ipi_design interface_address_vh_file ${design_name}
77 validate_bd_design
78
79 set wrapper_file [make_wrapper -files [get_files ${design_name}.bd] -top -force]
80 import_files -force -norecurse $wrapper_file
81
82 set_property SOURCE_SET sources_1 [get_filesets sim_1]
83 import_files -fileset sim_1 -norecurse -force $test_bench_file
84 remove_files -quiet -fileset sim_1 spi_leds_and_enc_v1_0_tb_include.vh
85 import_files -fileset sim_1 -norecurse -force $interface_address_vh_file
86 set_property top spi_leds_and_enc_v1_0_tb [get_filesets sim_1]
87 set_property top_lib {} [get_filesets sim_1]
88 set_property top_file {} [get_filesets sim_1]
89 launch_xsim -simset sim_1 -mode behavioral
90 restart
91 run 1000 us