]> rtime.felk.cvut.cz Git - fpga/zynq/canbench-sw.git/blob - system/ip/sja1000_1.0/component.xml
d9ea2a291923a19bf141029f742cf466235b6ea0
[fpga/zynq/canbench-sw.git] / system / ip / sja1000_1.0 / component.xml
1 <?xml version="1.0" encoding="UTF-8"?>
2 <spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
3   <spirit:vendor>user.org</spirit:vendor>
4   <spirit:library>user</spirit:library>
5   <spirit:name>sja1000</spirit:name>
6   <spirit:version>1.0</spirit:version>
7   <spirit:busInterfaces>
8     <spirit:busInterface>
9       <spirit:name>S00_AXI</spirit:name>
10       <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/>
11       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/>
12       <spirit:slave>
13         <spirit:memoryMapRef spirit:memoryMapRef="S00_AXI"/>
14       </spirit:slave>
15       <spirit:portMaps>
16         <spirit:portMap>
17           <spirit:logicalPort>
18             <spirit:name>AWADDR</spirit:name>
19           </spirit:logicalPort>
20           <spirit:physicalPort>
21             <spirit:name>s00_axi_awaddr</spirit:name>
22           </spirit:physicalPort>
23         </spirit:portMap>
24         <spirit:portMap>
25           <spirit:logicalPort>
26             <spirit:name>AWPROT</spirit:name>
27           </spirit:logicalPort>
28           <spirit:physicalPort>
29             <spirit:name>s00_axi_awprot</spirit:name>
30           </spirit:physicalPort>
31         </spirit:portMap>
32         <spirit:portMap>
33           <spirit:logicalPort>
34             <spirit:name>AWVALID</spirit:name>
35           </spirit:logicalPort>
36           <spirit:physicalPort>
37             <spirit:name>s00_axi_awvalid</spirit:name>
38           </spirit:physicalPort>
39         </spirit:portMap>
40         <spirit:portMap>
41           <spirit:logicalPort>
42             <spirit:name>AWREADY</spirit:name>
43           </spirit:logicalPort>
44           <spirit:physicalPort>
45             <spirit:name>s00_axi_awready</spirit:name>
46           </spirit:physicalPort>
47         </spirit:portMap>
48         <spirit:portMap>
49           <spirit:logicalPort>
50             <spirit:name>WDATA</spirit:name>
51           </spirit:logicalPort>
52           <spirit:physicalPort>
53             <spirit:name>s00_axi_wdata</spirit:name>
54           </spirit:physicalPort>
55         </spirit:portMap>
56         <spirit:portMap>
57           <spirit:logicalPort>
58             <spirit:name>WSTRB</spirit:name>
59           </spirit:logicalPort>
60           <spirit:physicalPort>
61             <spirit:name>s00_axi_wstrb</spirit:name>
62           </spirit:physicalPort>
63         </spirit:portMap>
64         <spirit:portMap>
65           <spirit:logicalPort>
66             <spirit:name>WVALID</spirit:name>
67           </spirit:logicalPort>
68           <spirit:physicalPort>
69             <spirit:name>s00_axi_wvalid</spirit:name>
70           </spirit:physicalPort>
71         </spirit:portMap>
72         <spirit:portMap>
73           <spirit:logicalPort>
74             <spirit:name>WREADY</spirit:name>
75           </spirit:logicalPort>
76           <spirit:physicalPort>
77             <spirit:name>s00_axi_wready</spirit:name>
78           </spirit:physicalPort>
79         </spirit:portMap>
80         <spirit:portMap>
81           <spirit:logicalPort>
82             <spirit:name>BRESP</spirit:name>
83           </spirit:logicalPort>
84           <spirit:physicalPort>
85             <spirit:name>s00_axi_bresp</spirit:name>
86           </spirit:physicalPort>
87         </spirit:portMap>
88         <spirit:portMap>
89           <spirit:logicalPort>
90             <spirit:name>BVALID</spirit:name>
91           </spirit:logicalPort>
92           <spirit:physicalPort>
93             <spirit:name>s00_axi_bvalid</spirit:name>
94           </spirit:physicalPort>
95         </spirit:portMap>
96         <spirit:portMap>
97           <spirit:logicalPort>
98             <spirit:name>BREADY</spirit:name>
99           </spirit:logicalPort>
100           <spirit:physicalPort>
101             <spirit:name>s00_axi_bready</spirit:name>
102           </spirit:physicalPort>
103         </spirit:portMap>
104         <spirit:portMap>
105           <spirit:logicalPort>
106             <spirit:name>ARADDR</spirit:name>
107           </spirit:logicalPort>
108           <spirit:physicalPort>
109             <spirit:name>s00_axi_araddr</spirit:name>
110           </spirit:physicalPort>
111         </spirit:portMap>
112         <spirit:portMap>
113           <spirit:logicalPort>
114             <spirit:name>ARPROT</spirit:name>
115           </spirit:logicalPort>
116           <spirit:physicalPort>
117             <spirit:name>s00_axi_arprot</spirit:name>
118           </spirit:physicalPort>
119         </spirit:portMap>
120         <spirit:portMap>
121           <spirit:logicalPort>
122             <spirit:name>ARVALID</spirit:name>
123           </spirit:logicalPort>
124           <spirit:physicalPort>
125             <spirit:name>s00_axi_arvalid</spirit:name>
126           </spirit:physicalPort>
127         </spirit:portMap>
128         <spirit:portMap>
129           <spirit:logicalPort>
130             <spirit:name>ARREADY</spirit:name>
131           </spirit:logicalPort>
132           <spirit:physicalPort>
133             <spirit:name>s00_axi_arready</spirit:name>
134           </spirit:physicalPort>
135         </spirit:portMap>
136         <spirit:portMap>
137           <spirit:logicalPort>
138             <spirit:name>RDATA</spirit:name>
139           </spirit:logicalPort>
140           <spirit:physicalPort>
141             <spirit:name>s00_axi_rdata</spirit:name>
142           </spirit:physicalPort>
143         </spirit:portMap>
144         <spirit:portMap>
145           <spirit:logicalPort>
146             <spirit:name>RRESP</spirit:name>
147           </spirit:logicalPort>
148           <spirit:physicalPort>
149             <spirit:name>s00_axi_rresp</spirit:name>
150           </spirit:physicalPort>
151         </spirit:portMap>
152         <spirit:portMap>
153           <spirit:logicalPort>
154             <spirit:name>RVALID</spirit:name>
155           </spirit:logicalPort>
156           <spirit:physicalPort>
157             <spirit:name>s00_axi_rvalid</spirit:name>
158           </spirit:physicalPort>
159         </spirit:portMap>
160         <spirit:portMap>
161           <spirit:logicalPort>
162             <spirit:name>RREADY</spirit:name>
163           </spirit:logicalPort>
164           <spirit:physicalPort>
165             <spirit:name>s00_axi_rready</spirit:name>
166           </spirit:physicalPort>
167         </spirit:portMap>
168       </spirit:portMaps>
169       <spirit:parameters>
170         <spirit:parameter>
171           <spirit:name>WIZ_DATA_WIDTH</spirit:name>
172           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S00_AXI.WIZ_DATA_WIDTH" spirit:choiceRef="choice_list_6fc15197">32</spirit:value>
173         </spirit:parameter>
174         <spirit:parameter>
175           <spirit:name>WIZ_NUM_REG</spirit:name>
176           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S00_AXI.WIZ_NUM_REG" spirit:minimum="4" spirit:maximum="512" spirit:rangeType="long">255</spirit:value>
177         </spirit:parameter>
178         <spirit:parameter>
179           <spirit:name>SUPPORTS_NARROW_BURST</spirit:name>
180           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST" spirit:choiceRef="choice_pairs_ce1226b1">0</spirit:value>
181         </spirit:parameter>
182       </spirit:parameters>
183     </spirit:busInterface>
184     <spirit:busInterface>
185       <spirit:name>S00_AXI_RST</spirit:name>
186       <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/>
187       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/>
188       <spirit:slave/>
189       <spirit:portMaps>
190         <spirit:portMap>
191           <spirit:logicalPort>
192             <spirit:name>RST</spirit:name>
193           </spirit:logicalPort>
194           <spirit:physicalPort>
195             <spirit:name>s00_axi_aresetn</spirit:name>
196           </spirit:physicalPort>
197         </spirit:portMap>
198       </spirit:portMaps>
199       <spirit:parameters>
200         <spirit:parameter>
201           <spirit:name>POLARITY</spirit:name>
202           <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_RST.POLARITY">ACTIVE_LOW</spirit:value>
203         </spirit:parameter>
204       </spirit:parameters>
205     </spirit:busInterface>
206     <spirit:busInterface>
207       <spirit:name>S00_AXI_CLK</spirit:name>
208       <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
209       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
210       <spirit:slave/>
211       <spirit:portMaps>
212         <spirit:portMap>
213           <spirit:logicalPort>
214             <spirit:name>CLK</spirit:name>
215           </spirit:logicalPort>
216           <spirit:physicalPort>
217             <spirit:name>s00_axi_aclk</spirit:name>
218           </spirit:physicalPort>
219         </spirit:portMap>
220       </spirit:portMaps>
221       <spirit:parameters>
222         <spirit:parameter>
223           <spirit:name>ASSOCIATED_BUSIF</spirit:name>
224           <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_CLK.ASSOCIATED_BUSIF">S00_AXI</spirit:value>
225         </spirit:parameter>
226         <spirit:parameter>
227           <spirit:name>ASSOCIATED_RESET</spirit:name>
228           <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_CLK.ASSOCIATED_RESET">s00_axi_aresetn</spirit:value>
229         </spirit:parameter>
230       </spirit:parameters>
231     </spirit:busInterface>
232   </spirit:busInterfaces>
233   <spirit:memoryMaps>
234     <spirit:memoryMap>
235       <spirit:name>S00_AXI</spirit:name>
236       <spirit:addressBlock>
237         <spirit:name>S00_AXI_reg</spirit:name>
238         <spirit:baseAddress spirit:format="long" spirit:resolve="user">0</spirit:baseAddress>
239         <spirit:range spirit:format="long">4096</spirit:range>
240         <spirit:width spirit:format="long">32</spirit:width>
241         <spirit:usage>register</spirit:usage>
242         <spirit:parameters>
243           <spirit:parameter>
244             <spirit:name>OFFSET_BASE_PARAM</spirit:name>
245             <spirit:value spirit:id="ADDRBLOCKPARAM_VALUE.S00_AXI.S00_AXI_REG.OFFSET_BASE_PARAM" spirit:dependency="ADDRBLOCKPARAM_VALUE.S00_AXI_reg.OFFSET_BASE_PARAM">0</spirit:value>
246           </spirit:parameter>
247           <spirit:parameter>
248             <spirit:name>OFFSET_HIGH_PARAM</spirit:name>
249             <spirit:value spirit:id="ADDRBLOCKPARAM_VALUE.S00_AXI.S00_AXI_REG.OFFSET_HIGH_PARAM" spirit:dependency="ADDRBLOCKPARAM_VALUE.S00_AXI_reg.OFFSET_HIGH_PARAM">0</spirit:value>
250           </spirit:parameter>
251         </spirit:parameters>
252       </spirit:addressBlock>
253     </spirit:memoryMap>
254   </spirit:memoryMaps>
255   <spirit:model>
256     <spirit:views>
257       <spirit:view>
258         <spirit:name>xilinx_softwaredriver</spirit:name>
259         <spirit:displayName>Software Driver</spirit:displayName>
260         <spirit:envIdentifier>:vivado.xilinx.com:sw.driver</spirit:envIdentifier>
261         <spirit:fileSetRef>
262           <spirit:localName>xilinx_softwaredriver_view_fileset</spirit:localName>
263         </spirit:fileSetRef>
264         <spirit:parameters>
265           <spirit:parameter>
266             <spirit:name>viewChecksum</spirit:name>
267             <spirit:value>a7e67acd</spirit:value>
268           </spirit:parameter>
269         </spirit:parameters>
270       </spirit:view>
271       <spirit:view>
272         <spirit:name>xilinx_xpgui</spirit:name>
273         <spirit:displayName>UI Layout</spirit:displayName>
274         <spirit:envIdentifier>:vivado.xilinx.com:xgui.ui</spirit:envIdentifier>
275         <spirit:fileSetRef>
276           <spirit:localName>xilinx_xpgui_view_fileset</spirit:localName>
277         </spirit:fileSetRef>
278         <spirit:parameters>
279           <spirit:parameter>
280             <spirit:name>viewChecksum</spirit:name>
281             <spirit:value>fd592ead</spirit:value>
282           </spirit:parameter>
283         </spirit:parameters>
284       </spirit:view>
285       <spirit:view>
286         <spirit:name>bd_tcl</spirit:name>
287         <spirit:displayName>Block Diagram</spirit:displayName>
288         <spirit:envIdentifier>:vivado.xilinx.com:block.diagram</spirit:envIdentifier>
289         <spirit:fileSetRef>
290           <spirit:localName>bd_tcl_view_fileset</spirit:localName>
291         </spirit:fileSetRef>
292         <spirit:parameters>
293           <spirit:parameter>
294             <spirit:name>viewChecksum</spirit:name>
295             <spirit:value>45a2f450</spirit:value>
296           </spirit:parameter>
297         </spirit:parameters>
298       </spirit:view>
299       <spirit:view>
300         <spirit:name>xilinx_anylanguagesynthesis</spirit:name>
301         <spirit:displayName>Synthesis</spirit:displayName>
302         <spirit:envIdentifier>:vivado.xilinx.com:synthesis</spirit:envIdentifier>
303         <spirit:modelName>sja1000</spirit:modelName>
304         <spirit:fileSetRef>
305           <spirit:localName>xilinx_anylanguagesynthesis_view_fileset</spirit:localName>
306         </spirit:fileSetRef>
307         <spirit:parameters>
308           <spirit:parameter>
309             <spirit:name>viewChecksum</spirit:name>
310             <spirit:value>586400b8</spirit:value>
311           </spirit:parameter>
312         </spirit:parameters>
313       </spirit:view>
314       <spirit:view>
315         <spirit:name>xilinx_anylanguagebehavioralsimulation</spirit:name>
316         <spirit:displayName>Simulation</spirit:displayName>
317         <spirit:envIdentifier>:vivado.xilinx.com:simulation</spirit:envIdentifier>
318         <spirit:modelName>sja1000</spirit:modelName>
319         <spirit:fileSetRef>
320           <spirit:localName>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:localName>
321         </spirit:fileSetRef>
322         <spirit:parameters>
323           <spirit:parameter>
324             <spirit:name>viewChecksum</spirit:name>
325             <spirit:value>586400b8</spirit:value>
326           </spirit:parameter>
327         </spirit:parameters>
328       </spirit:view>
329     </spirit:views>
330     <spirit:ports>
331       <spirit:port>
332         <spirit:name>can_clk</spirit:name>
333         <spirit:wire>
334           <spirit:direction>in</spirit:direction>
335           <spirit:wireTypeDefs>
336             <spirit:wireTypeDef>
337               <spirit:typeName>wire</spirit:typeName>
338               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
339               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
340             </spirit:wireTypeDef>
341           </spirit:wireTypeDefs>
342         </spirit:wire>
343       </spirit:port>
344       <spirit:port>
345         <spirit:name>can_rx</spirit:name>
346         <spirit:wire>
347           <spirit:direction>in</spirit:direction>
348           <spirit:wireTypeDefs>
349             <spirit:wireTypeDef>
350               <spirit:typeName>wire</spirit:typeName>
351               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
352               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
353             </spirit:wireTypeDef>
354           </spirit:wireTypeDefs>
355         </spirit:wire>
356       </spirit:port>
357       <spirit:port>
358         <spirit:name>can_tx</spirit:name>
359         <spirit:wire>
360           <spirit:direction>out</spirit:direction>
361           <spirit:wireTypeDefs>
362             <spirit:wireTypeDef>
363               <spirit:typeName>wire</spirit:typeName>
364               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
365               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
366             </spirit:wireTypeDef>
367           </spirit:wireTypeDefs>
368         </spirit:wire>
369       </spirit:port>
370       <spirit:port>
371         <spirit:name>bus_off_on</spirit:name>
372         <spirit:wire>
373           <spirit:direction>out</spirit:direction>
374           <spirit:wireTypeDefs>
375             <spirit:wireTypeDef>
376               <spirit:typeName>wire</spirit:typeName>
377               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
378               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
379             </spirit:wireTypeDef>
380           </spirit:wireTypeDefs>
381         </spirit:wire>
382       </spirit:port>
383       <spirit:port>
384         <spirit:name>s00_axi_awaddr</spirit:name>
385         <spirit:wire>
386           <spirit:direction>in</spirit:direction>
387           <spirit:vector>
388             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH&apos;)) - 1)">7</spirit:left>
389             <spirit:right spirit:format="long">0</spirit:right>
390           </spirit:vector>
391           <spirit:wireTypeDefs>
392             <spirit:wireTypeDef>
393               <spirit:typeName>wire</spirit:typeName>
394               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
395               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
396             </spirit:wireTypeDef>
397           </spirit:wireTypeDefs>
398         </spirit:wire>
399       </spirit:port>
400       <spirit:port>
401         <spirit:name>s00_axi_awprot</spirit:name>
402         <spirit:wire>
403           <spirit:direction>in</spirit:direction>
404           <spirit:vector>
405             <spirit:left spirit:format="long">2</spirit:left>
406             <spirit:right spirit:format="long">0</spirit:right>
407           </spirit:vector>
408           <spirit:wireTypeDefs>
409             <spirit:wireTypeDef>
410               <spirit:typeName>wire</spirit:typeName>
411               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
412               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
413             </spirit:wireTypeDef>
414           </spirit:wireTypeDefs>
415         </spirit:wire>
416       </spirit:port>
417       <spirit:port>
418         <spirit:name>s00_axi_awvalid</spirit:name>
419         <spirit:wire>
420           <spirit:direction>in</spirit:direction>
421           <spirit:wireTypeDefs>
422             <spirit:wireTypeDef>
423               <spirit:typeName>wire</spirit:typeName>
424               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
425               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
426             </spirit:wireTypeDef>
427           </spirit:wireTypeDefs>
428         </spirit:wire>
429       </spirit:port>
430       <spirit:port>
431         <spirit:name>s00_axi_awready</spirit:name>
432         <spirit:wire>
433           <spirit:direction>out</spirit:direction>
434           <spirit:wireTypeDefs>
435             <spirit:wireTypeDef>
436               <spirit:typeName>wire</spirit:typeName>
437               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
438               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
439             </spirit:wireTypeDef>
440           </spirit:wireTypeDefs>
441         </spirit:wire>
442       </spirit:port>
443       <spirit:port>
444         <spirit:name>s00_axi_wdata</spirit:name>
445         <spirit:wire>
446           <spirit:direction>in</spirit:direction>
447           <spirit:vector>
448             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH&apos;)) - 1)">31</spirit:left>
449             <spirit:right spirit:format="long">0</spirit:right>
450           </spirit:vector>
451           <spirit:wireTypeDefs>
452             <spirit:wireTypeDef>
453               <spirit:typeName>wire</spirit:typeName>
454               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
455               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
456             </spirit:wireTypeDef>
457           </spirit:wireTypeDefs>
458         </spirit:wire>
459       </spirit:port>
460       <spirit:port>
461         <spirit:name>s00_axi_wstrb</spirit:name>
462         <spirit:wire>
463           <spirit:direction>in</spirit:direction>
464           <spirit:vector>
465             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH&apos;)) / 8) - 1)">3</spirit:left>
466             <spirit:right spirit:format="long">0</spirit:right>
467           </spirit:vector>
468           <spirit:wireTypeDefs>
469             <spirit:wireTypeDef>
470               <spirit:typeName>wire</spirit:typeName>
471               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
472               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
473             </spirit:wireTypeDef>
474           </spirit:wireTypeDefs>
475         </spirit:wire>
476       </spirit:port>
477       <spirit:port>
478         <spirit:name>s00_axi_wvalid</spirit:name>
479         <spirit:wire>
480           <spirit:direction>in</spirit:direction>
481           <spirit:wireTypeDefs>
482             <spirit:wireTypeDef>
483               <spirit:typeName>wire</spirit:typeName>
484               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
485               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
486             </spirit:wireTypeDef>
487           </spirit:wireTypeDefs>
488         </spirit:wire>
489       </spirit:port>
490       <spirit:port>
491         <spirit:name>s00_axi_wready</spirit:name>
492         <spirit:wire>
493           <spirit:direction>out</spirit:direction>
494           <spirit:wireTypeDefs>
495             <spirit:wireTypeDef>
496               <spirit:typeName>wire</spirit:typeName>
497               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
498               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
499             </spirit:wireTypeDef>
500           </spirit:wireTypeDefs>
501         </spirit:wire>
502       </spirit:port>
503       <spirit:port>
504         <spirit:name>s00_axi_bresp</spirit:name>
505         <spirit:wire>
506           <spirit:direction>out</spirit:direction>
507           <spirit:vector>
508             <spirit:left spirit:format="long">1</spirit:left>
509             <spirit:right spirit:format="long">0</spirit:right>
510           </spirit:vector>
511           <spirit:wireTypeDefs>
512             <spirit:wireTypeDef>
513               <spirit:typeName>wire</spirit:typeName>
514               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
515               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
516             </spirit:wireTypeDef>
517           </spirit:wireTypeDefs>
518         </spirit:wire>
519       </spirit:port>
520       <spirit:port>
521         <spirit:name>s00_axi_bvalid</spirit:name>
522         <spirit:wire>
523           <spirit:direction>out</spirit:direction>
524           <spirit:wireTypeDefs>
525             <spirit:wireTypeDef>
526               <spirit:typeName>wire</spirit:typeName>
527               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
528               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
529             </spirit:wireTypeDef>
530           </spirit:wireTypeDefs>
531         </spirit:wire>
532       </spirit:port>
533       <spirit:port>
534         <spirit:name>s00_axi_bready</spirit:name>
535         <spirit:wire>
536           <spirit:direction>in</spirit:direction>
537           <spirit:wireTypeDefs>
538             <spirit:wireTypeDef>
539               <spirit:typeName>wire</spirit:typeName>
540               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
541               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
542             </spirit:wireTypeDef>
543           </spirit:wireTypeDefs>
544         </spirit:wire>
545       </spirit:port>
546       <spirit:port>
547         <spirit:name>s00_axi_araddr</spirit:name>
548         <spirit:wire>
549           <spirit:direction>in</spirit:direction>
550           <spirit:vector>
551             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH&apos;)) - 1)">7</spirit:left>
552             <spirit:right spirit:format="long">0</spirit:right>
553           </spirit:vector>
554           <spirit:wireTypeDefs>
555             <spirit:wireTypeDef>
556               <spirit:typeName>wire</spirit:typeName>
557               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
558               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
559             </spirit:wireTypeDef>
560           </spirit:wireTypeDefs>
561         </spirit:wire>
562       </spirit:port>
563       <spirit:port>
564         <spirit:name>s00_axi_arprot</spirit:name>
565         <spirit:wire>
566           <spirit:direction>in</spirit:direction>
567           <spirit:vector>
568             <spirit:left spirit:format="long">2</spirit:left>
569             <spirit:right spirit:format="long">0</spirit:right>
570           </spirit:vector>
571           <spirit:wireTypeDefs>
572             <spirit:wireTypeDef>
573               <spirit:typeName>wire</spirit:typeName>
574               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
575               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
576             </spirit:wireTypeDef>
577           </spirit:wireTypeDefs>
578         </spirit:wire>
579       </spirit:port>
580       <spirit:port>
581         <spirit:name>s00_axi_arvalid</spirit:name>
582         <spirit:wire>
583           <spirit:direction>in</spirit:direction>
584           <spirit:wireTypeDefs>
585             <spirit:wireTypeDef>
586               <spirit:typeName>wire</spirit:typeName>
587               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
588               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
589             </spirit:wireTypeDef>
590           </spirit:wireTypeDefs>
591         </spirit:wire>
592       </spirit:port>
593       <spirit:port>
594         <spirit:name>s00_axi_arready</spirit:name>
595         <spirit:wire>
596           <spirit:direction>out</spirit:direction>
597           <spirit:wireTypeDefs>
598             <spirit:wireTypeDef>
599               <spirit:typeName>wire</spirit:typeName>
600               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
601               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
602             </spirit:wireTypeDef>
603           </spirit:wireTypeDefs>
604         </spirit:wire>
605       </spirit:port>
606       <spirit:port>
607         <spirit:name>s00_axi_rdata</spirit:name>
608         <spirit:wire>
609           <spirit:direction>out</spirit:direction>
610           <spirit:vector>
611             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH&apos;)) - 1)">31</spirit:left>
612             <spirit:right spirit:format="long">0</spirit:right>
613           </spirit:vector>
614           <spirit:wireTypeDefs>
615             <spirit:wireTypeDef>
616               <spirit:typeName>wire</spirit:typeName>
617               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
618               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
619             </spirit:wireTypeDef>
620           </spirit:wireTypeDefs>
621         </spirit:wire>
622       </spirit:port>
623       <spirit:port>
624         <spirit:name>s00_axi_rresp</spirit:name>
625         <spirit:wire>
626           <spirit:direction>out</spirit:direction>
627           <spirit:vector>
628             <spirit:left spirit:format="long">1</spirit:left>
629             <spirit:right spirit:format="long">0</spirit:right>
630           </spirit:vector>
631           <spirit:wireTypeDefs>
632             <spirit:wireTypeDef>
633               <spirit:typeName>wire</spirit:typeName>
634               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
635               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
636             </spirit:wireTypeDef>
637           </spirit:wireTypeDefs>
638         </spirit:wire>
639       </spirit:port>
640       <spirit:port>
641         <spirit:name>s00_axi_rvalid</spirit:name>
642         <spirit:wire>
643           <spirit:direction>out</spirit:direction>
644           <spirit:wireTypeDefs>
645             <spirit:wireTypeDef>
646               <spirit:typeName>wire</spirit:typeName>
647               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
648               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
649             </spirit:wireTypeDef>
650           </spirit:wireTypeDefs>
651         </spirit:wire>
652       </spirit:port>
653       <spirit:port>
654         <spirit:name>s00_axi_rready</spirit:name>
655         <spirit:wire>
656           <spirit:direction>in</spirit:direction>
657           <spirit:wireTypeDefs>
658             <spirit:wireTypeDef>
659               <spirit:typeName>wire</spirit:typeName>
660               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
661               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
662             </spirit:wireTypeDef>
663           </spirit:wireTypeDefs>
664         </spirit:wire>
665       </spirit:port>
666       <spirit:port>
667         <spirit:name>irq</spirit:name>
668         <spirit:wire>
669           <spirit:direction>out</spirit:direction>
670           <spirit:wireTypeDefs>
671             <spirit:wireTypeDef>
672               <spirit:typeName>wire</spirit:typeName>
673               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
674               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
675             </spirit:wireTypeDef>
676           </spirit:wireTypeDefs>
677         </spirit:wire>
678       </spirit:port>
679       <spirit:port>
680         <spirit:name>s00_axi_aclk</spirit:name>
681         <spirit:wire>
682           <spirit:direction>in</spirit:direction>
683           <spirit:wireTypeDefs>
684             <spirit:wireTypeDef>
685               <spirit:typeName>wire</spirit:typeName>
686               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
687               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
688             </spirit:wireTypeDef>
689           </spirit:wireTypeDefs>
690         </spirit:wire>
691       </spirit:port>
692       <spirit:port>
693         <spirit:name>s00_axi_aresetn</spirit:name>
694         <spirit:wire>
695           <spirit:direction>in</spirit:direction>
696           <spirit:wireTypeDefs>
697             <spirit:wireTypeDef>
698               <spirit:typeName>wire</spirit:typeName>
699               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
700               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
701             </spirit:wireTypeDef>
702           </spirit:wireTypeDefs>
703         </spirit:wire>
704       </spirit:port>
705     </spirit:ports>
706     <spirit:modelParameters>
707       <spirit:modelParameter xsi:type="spirit:nameValueTypeType" spirit:dataType="integer">
708         <spirit:name>C_S00_AXI_DATA_WIDTH</spirit:name>
709         <spirit:displayName>C S00 AXI DATA WIDTH</spirit:displayName>
710         <spirit:description>Width of S_AXI data bus</spirit:description>
711         <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH" spirit:order="3" spirit:rangeType="long">32</spirit:value>
712       </spirit:modelParameter>
713       <spirit:modelParameter spirit:dataType="integer">
714         <spirit:name>C_S00_AXI_ADDR_WIDTH</spirit:name>
715         <spirit:displayName>C S00 AXI ADDR WIDTH</spirit:displayName>
716         <spirit:description>Width of S_AXI address bus</spirit:description>
717         <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH" spirit:order="4" spirit:rangeType="long">8</spirit:value>
718       </spirit:modelParameter>
719     </spirit:modelParameters>
720   </spirit:model>
721   <spirit:choices>
722     <spirit:choice>
723       <spirit:name>choice_list_6fc15197</spirit:name>
724       <spirit:enumeration>32</spirit:enumeration>
725     </spirit:choice>
726     <spirit:choice>
727       <spirit:name>choice_pairs_ce1226b1</spirit:name>
728       <spirit:enumeration spirit:text="true">1</spirit:enumeration>
729       <spirit:enumeration spirit:text="false">0</spirit:enumeration>
730     </spirit:choice>
731   </spirit:choices>
732   <spirit:fileSets>
733     <spirit:fileSet>
734       <spirit:name>xilinx_softwaredriver_view_fileset</spirit:name>
735       <spirit:file>
736         <spirit:name>drivers/sja1000_v1_0/data/sja1000.mdd</spirit:name>
737         <spirit:userFileType>mdd</spirit:userFileType>
738         <spirit:userFileType>driver_mdd</spirit:userFileType>
739       </spirit:file>
740       <spirit:file>
741         <spirit:name>drivers/sja1000_v1_0/data/sja1000.tcl</spirit:name>
742         <spirit:fileType>tclSource</spirit:fileType>
743         <spirit:userFileType>driver_tcl</spirit:userFileType>
744       </spirit:file>
745       <spirit:file>
746         <spirit:name>drivers/sja1000_v1_0/src/Makefile</spirit:name>
747         <spirit:userFileType>driver_src</spirit:userFileType>
748       </spirit:file>
749       <spirit:file>
750         <spirit:name>drivers/sja1000_v1_0/src/sja1000.h</spirit:name>
751         <spirit:fileType>cSource</spirit:fileType>
752         <spirit:userFileType>driver_src</spirit:userFileType>
753       </spirit:file>
754       <spirit:file>
755         <spirit:name>drivers/sja1000_v1_0/src/sja1000.c</spirit:name>
756         <spirit:fileType>cSource</spirit:fileType>
757         <spirit:userFileType>driver_src</spirit:userFileType>
758       </spirit:file>
759       <spirit:file>
760         <spirit:name>drivers/sja1000_v1_0/src/sja1000_selftest.c</spirit:name>
761         <spirit:fileType>cSource</spirit:fileType>
762         <spirit:userFileType>driver_src</spirit:userFileType>
763       </spirit:file>
764     </spirit:fileSet>
765     <spirit:fileSet>
766       <spirit:name>xilinx_xpgui_view_fileset</spirit:name>
767       <spirit:file>
768         <spirit:name>xgui/sja1000_v1_0.tcl</spirit:name>
769         <spirit:fileType>tclSource</spirit:fileType>
770         <spirit:userFileType>CHECKSUM_fd592ead</spirit:userFileType>
771         <spirit:userFileType>XGUI_VERSION_2</spirit:userFileType>
772       </spirit:file>
773     </spirit:fileSet>
774     <spirit:fileSet>
775       <spirit:name>bd_tcl_view_fileset</spirit:name>
776       <spirit:file>
777         <spirit:name>bd/bd.tcl</spirit:name>
778         <spirit:fileType>tclSource</spirit:fileType>
779       </spirit:file>
780     </spirit:fileSet>
781     <spirit:fileSet>
782       <spirit:name>xilinx_anylanguagesynthesis_view_fileset</spirit:name>
783       <spirit:file>
784         <spirit:name>hdl/can_crc.v</spirit:name>
785         <spirit:fileType>verilogSource</spirit:fileType>
786         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
787       </spirit:file>
788       <spirit:file>
789         <spirit:name>hdl/can_register_asyn_syn.v</spirit:name>
790         <spirit:fileType>verilogSource</spirit:fileType>
791         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
792       </spirit:file>
793       <spirit:file>
794         <spirit:name>hdl/can_fifo.v</spirit:name>
795         <spirit:fileType>verilogSource</spirit:fileType>
796         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
797       </spirit:file>
798       <spirit:file>
799         <spirit:name>hdl/can_defines.v</spirit:name>
800         <spirit:fileType>verilogSource</spirit:fileType>
801         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
802       </spirit:file>
803       <spirit:file>
804         <spirit:name>hdl/can_btl.v</spirit:name>
805         <spirit:fileType>verilogSource</spirit:fileType>
806         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
807       </spirit:file>
808       <spirit:file>
809         <spirit:name>hdl/timescale.v</spirit:name>
810         <spirit:fileType>verilogSource</spirit:fileType>
811         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
812       </spirit:file>
813       <spirit:file>
814         <spirit:name>hdl/can_bsp.v</spirit:name>
815         <spirit:fileType>verilogSource</spirit:fileType>
816         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
817       </spirit:file>
818       <spirit:file>
819         <spirit:name>hdl/can_registers.v</spirit:name>
820         <spirit:fileType>verilogSource</spirit:fileType>
821         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
822       </spirit:file>
823       <spirit:file>
824         <spirit:name>hdl/can_register_asyn.v</spirit:name>
825         <spirit:fileType>verilogSource</spirit:fileType>
826         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
827       </spirit:file>
828       <spirit:file>
829         <spirit:name>hdl/sja1000.v</spirit:name>
830         <spirit:fileType>verilogSource</spirit:fileType>
831         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
832       </spirit:file>
833       <spirit:file>
834         <spirit:name>hdl/can_ifc_axi_sync_duplex.v</spirit:name>
835         <spirit:fileType>verilogSource</spirit:fileType>
836         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
837       </spirit:file>
838       <spirit:file>
839         <spirit:name>hdl/can_top_raw.v</spirit:name>
840         <spirit:fileType>verilogSource</spirit:fileType>
841         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
842       </spirit:file>
843       <spirit:file>
844         <spirit:name>hdl/can_ibo.v</spirit:name>
845         <spirit:fileType>verilogSource</spirit:fileType>
846         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
847       </spirit:file>
848       <spirit:file>
849         <spirit:name>hdl/can_acf.v</spirit:name>
850         <spirit:fileType>verilogSource</spirit:fileType>
851         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
852       </spirit:file>
853       <spirit:file>
854         <spirit:name>hdl/can_register.v</spirit:name>
855         <spirit:fileType>verilogSource</spirit:fileType>
856         <spirit:userFileType>CHECKSUM_afda1032</spirit:userFileType>
857         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
858       </spirit:file>
859     </spirit:fileSet>
860     <spirit:fileSet>
861       <spirit:name>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:name>
862       <spirit:file>
863         <spirit:name>hdl/can_crc.v</spirit:name>
864         <spirit:fileType>verilogSource</spirit:fileType>
865         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
866         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
867       </spirit:file>
868       <spirit:file>
869         <spirit:name>hdl/can_register_asyn_syn.v</spirit:name>
870         <spirit:fileType>verilogSource</spirit:fileType>
871         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
872         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
873       </spirit:file>
874       <spirit:file>
875         <spirit:name>hdl/can_fifo.v</spirit:name>
876         <spirit:fileType>verilogSource</spirit:fileType>
877         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
878         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
879       </spirit:file>
880       <spirit:file>
881         <spirit:name>hdl/can_defines.v</spirit:name>
882         <spirit:fileType>verilogSource</spirit:fileType>
883         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
884         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
885       </spirit:file>
886       <spirit:file>
887         <spirit:name>hdl/can_btl.v</spirit:name>
888         <spirit:fileType>verilogSource</spirit:fileType>
889         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
890         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
891       </spirit:file>
892       <spirit:file>
893         <spirit:name>hdl/timescale.v</spirit:name>
894         <spirit:fileType>verilogSource</spirit:fileType>
895         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
896         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
897       </spirit:file>
898       <spirit:file>
899         <spirit:name>hdl/can_bsp.v</spirit:name>
900         <spirit:fileType>verilogSource</spirit:fileType>
901         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
902         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
903       </spirit:file>
904       <spirit:file>
905         <spirit:name>hdl/can_registers.v</spirit:name>
906         <spirit:fileType>verilogSource</spirit:fileType>
907         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
908         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
909       </spirit:file>
910       <spirit:file>
911         <spirit:name>hdl/can_register_asyn.v</spirit:name>
912         <spirit:fileType>verilogSource</spirit:fileType>
913         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
914         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
915       </spirit:file>
916       <spirit:file>
917         <spirit:name>hdl/sja1000.v</spirit:name>
918         <spirit:fileType>verilogSource</spirit:fileType>
919         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
920         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
921       </spirit:file>
922       <spirit:file>
923         <spirit:name>hdl/can_ifc_axi_sync_duplex.v</spirit:name>
924         <spirit:fileType>verilogSource</spirit:fileType>
925         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
926       </spirit:file>
927       <spirit:file>
928         <spirit:name>hdl/can_top_raw.v</spirit:name>
929         <spirit:fileType>verilogSource</spirit:fileType>
930         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
931         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
932       </spirit:file>
933       <spirit:file>
934         <spirit:name>hdl/can_ibo.v</spirit:name>
935         <spirit:fileType>verilogSource</spirit:fileType>
936         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
937         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
938       </spirit:file>
939       <spirit:file>
940         <spirit:name>hdl/can_acf.v</spirit:name>
941         <spirit:fileType>verilogSource</spirit:fileType>
942         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
943         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
944       </spirit:file>
945       <spirit:file>
946         <spirit:name>hdl/can_register.v</spirit:name>
947         <spirit:fileType>verilogSource</spirit:fileType>
948         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
949         <spirit:logicalName>xil_defaultlib</spirit:logicalName>
950       </spirit:file>
951     </spirit:fileSet>
952   </spirit:fileSets>
953   <spirit:description>SJA1000 Soft Core</spirit:description>
954   <spirit:parameters>
955     <spirit:parameter>
956       <spirit:name>C_S00_AXI_DATA_WIDTH</spirit:name>
957       <spirit:displayName>C S00 AXI DATA WIDTH</spirit:displayName>
958       <spirit:description>Width of S_AXI data bus</spirit:description>
959       <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_DATA_WIDTH" spirit:choiceRef="choice_list_6fc15197" spirit:order="3">32</spirit:value>
960       <spirit:vendorExtensions>
961         <xilinx:parameterInfo>
962           <xilinx:enablement>
963             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S00_AXI_DATA_WIDTH">false</xilinx:isEnabled>
964           </xilinx:enablement>
965         </xilinx:parameterInfo>
966       </spirit:vendorExtensions>
967     </spirit:parameter>
968     <spirit:parameter>
969       <spirit:name>C_S00_AXI_ADDR_WIDTH</spirit:name>
970       <spirit:displayName>C S00 AXI ADDR WIDTH</spirit:displayName>
971       <spirit:description>Width of S_AXI address bus</spirit:description>
972       <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_ADDR_WIDTH" spirit:order="4" spirit:rangeType="long">8</spirit:value>
973       <spirit:vendorExtensions>
974         <xilinx:parameterInfo>
975           <xilinx:enablement>
976             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S00_AXI_ADDR_WIDTH">false</xilinx:isEnabled>
977           </xilinx:enablement>
978         </xilinx:parameterInfo>
979       </spirit:vendorExtensions>
980     </spirit:parameter>
981     <spirit:parameter>
982       <spirit:name>C_S00_AXI_BASEADDR</spirit:name>
983       <spirit:displayName>C S00 AXI BASEADDR</spirit:displayName>
984       <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_BASEADDR" spirit:order="5" spirit:bitStringLength="32">0xFFFFFFFF</spirit:value>
985     </spirit:parameter>
986     <spirit:parameter>
987       <spirit:name>C_S00_AXI_HIGHADDR</spirit:name>
988       <spirit:displayName>C S00 AXI HIGHADDR</spirit:displayName>
989       <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_HIGHADDR" spirit:order="6" spirit:bitStringLength="32">0x00000000</spirit:value>
990     </spirit:parameter>
991     <spirit:parameter>
992       <spirit:name>Component_Name</spirit:name>
993       <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">sja1000_v1_0</spirit:value>
994     </spirit:parameter>
995   </spirit:parameters>
996   <spirit:vendorExtensions>
997     <xilinx:coreExtensions>
998       <xilinx:supportedFamilies>
999         <xilinx:family xilinx:lifeCycle="Pre-Production">zynq</xilinx:family>
1000       </xilinx:supportedFamilies>
1001       <xilinx:taxonomies>
1002         <xilinx:taxonomy>AXI_Peripheral</xilinx:taxonomy>
1003       </xilinx:taxonomies>
1004       <xilinx:displayName>sja1000_v1.0</xilinx:displayName>
1005       <xilinx:coreRevision>8</xilinx:coreRevision>
1006       <xilinx:coreCreationDateTime>2016-05-11T17:43:43Z</xilinx:coreCreationDateTime>
1007       <xilinx:tags>
1008         <xilinx:tag xilinx:name="user.org:user:sja1000:1.0_ARCHIVE_LOCATION">/home/martin/projects/cvut/bakalarka/canbench-sw/system/ip/sja1000_1.0</xilinx:tag>
1009       </xilinx:tags>
1010     </xilinx:coreExtensions>
1011     <xilinx:packagingInfo>
1012       <xilinx:xilinxVersion>2016.1</xilinx:xilinxVersion>
1013       <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="fa0dead8"/>
1014       <xilinx:checksum xilinx:scope="memoryMaps" xilinx:value="ca22a6c3"/>
1015       <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="25cd449f"/>
1016       <xilinx:checksum xilinx:scope="ports" xilinx:value="2af143f4"/>
1017       <xilinx:checksum xilinx:scope="hdlParameters" xilinx:value="8a3bfb41"/>
1018       <xilinx:checksum xilinx:scope="parameters" xilinx:value="edbec00a"/>
1019     </xilinx:packagingInfo>
1020   </spirit:vendorExtensions>
1021 </spirit:component>