]> rtime.felk.cvut.cz Git - fpga/zynq/canbench-sw.git/blob - system/script/recreate.tcl
adcd4cb843dcc75b27c839be6f360ab45b21b519
[fpga/zynq/canbench-sw.git] / system / script / recreate.tcl
1 # Set the reference directory for source file relative paths (by default the value is script directory path)
2 set origin_dir "."
3
4 # Use origin directory path location variable, if specified in the tcl shell
5 if { [info exists ::origin_dir_loc] } {
6   set origin_dir $::origin_dir_loc
7 }
8
9 variable script_file
10 set script_file "recreate.tcl"
11
12 # Help information for this script
13 proc help {} {
14   variable script_file
15   puts "\nDescription:"
16   puts "Recreate a Vivado project from this script. The created project will be"
17   puts "functionally equivalent to the original project for which this script was"
18   puts "generated. The script contains commands for creating a project, filesets,"
19   puts "runs, adding/importing sources and setting properties on various objects.\n"
20   puts "Syntax:"
21   puts "$script_file"
22   puts "$script_file -tclargs \[--origin_dir <path>\]"
23   puts "$script_file -tclargs \[--help\]\n"
24   puts "Usage:"
25   puts "Name                   Description"
26   puts "-------------------------------------------------------------------------"
27   puts "\[--origin_dir <path>\]  Determine source file paths wrt this path. Default"
28   puts "                       origin_dir path value is \".\", otherwise, the value"
29   puts "                       that was set with the \"-paths_relative_to\" switch"
30   puts "                       when this script was generated.\n"
31   puts "\[--help\]               Print help information for this script"
32   puts "-------------------------------------------------------------------------\n"
33   exit 0
34 }
35
36 if { $::argc > 0 } {
37   for {set i 0} {$i < [llength $::argc]} {incr i} {
38     set option [string trim [lindex $::argv $i]]
39     switch -regexp -- $option {
40       "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] }
41       "--help"       { help }
42       default {
43         if { [regexp {^-} $option] } {
44           puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n"
45           return 1
46         }
47       }
48     }
49   }
50 }
51
52 # Set the directory path for the original project from where this script was exported
53 set orig_proj_dir "[file normalize "$origin_dir/../project"]"
54
55 # Create project
56 create_project canbench ../project
57
58 # Set the directory path for the new project
59 set proj_dir [get_property directory [current_project]]
60
61 # Reconstruct message rules
62 # None
63
64 # Set project properties
65 set obj [get_projects canbench]
66 set_property "board_part" "em.avnet.com:microzed_7010:part0:1.0" $obj
67 set_property "default_lib" "xil_defaultlib" $obj
68 set_property "sim.ip.auto_export_scripts" "1" $obj
69 set_property "simulator_language" "Mixed" $obj
70 set_property "target_language" "VHDL" $obj
71
72 # Create 'sources_1' fileset (if not found)
73 if {[string equal [get_filesets -quiet sources_1] ""]} {
74   create_fileset -srcset sources_1
75 }
76
77 # Set IP repository paths
78 set obj [get_filesets sources_1]
79 set_property "ip_repo_paths" "[file normalize "$origin_dir/../ip/sja1000_1.0"] [file normalize "$origin_dir/../ip/sja1000_1.0"] [file normalize "$origin_dir/../ip/can_merge"] [file normalize "$origin_dir/../ip/canbench_cc_gpio"]" $obj
80
81 # Rebuild user ip_repo's index before adding any source files
82 update_ip_catalog -rebuild
83
84 # Set 'sources_1' fileset object
85 set obj [get_filesets sources_1]
86 set files [list \
87  "[file normalize "$origin_dir/../src/top/top.bd"]"\
88 ]
89 add_files -norecurse -fileset $obj $files
90
91 # Set 'sources_1' fileset file properties for remote files
92 set file "$origin_dir/../src/top/top.bd"
93 set file [file normalize $file]
94 set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
95 if { ![get_property "is_locked" $file_obj] } {
96   set_property "synth_checkpoint_mode" "Hierarchical" $file_obj
97 }
98
99 # Set 'sources_1' fileset file properties for local files
100 # None
101
102 # Set 'sources_1' fileset properties
103 #set obj [get_filesets sources_1]
104 #set_property "top" "top_wrapper" $obj
105
106 # Create 'constrs_1' fileset (if not found)
107 if {[string equal [get_filesets -quiet constrs_1] ""]} {
108   create_fileset -constrset constrs_1
109 }
110
111 # Set 'constrs_1' fileset object
112 set obj [get_filesets constrs_1]
113
114 # Add/Import constrs file and set constrs file properties
115 set file "[file normalize "$origin_dir/../src/constrs/microzed_CAN-CC_RevA.xdc"]"
116 set file_added [add_files -norecurse -fileset $obj $file]
117 set file "$origin_dir/../src/constrs/microzed_CAN-CC_RevA.xdc"
118 set file [file normalize $file]
119 set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]]
120 set_property "file_type" "XDC" $file_obj
121
122 # Set 'constrs_1' fileset properties
123 #set obj [get_filesets constrs_1]
124 #set_property "target_constrs_file" "$orig_proj_dir/canbench.srcs/constrs_1/new/test.xdc" $obj
125
126 # Create 'sim_1' fileset (if not found)
127 if {[string equal [get_filesets -quiet sim_1] ""]} {
128   create_fileset -simset sim_1
129 }
130
131 # Set 'sim_1' fileset object
132 set obj [get_filesets sim_1]
133 # Empty (no sources present)
134
135 # Set 'sim_1' fileset properties
136 set obj [get_filesets sim_1]
137 set_property "transport_int_delay" "0" $obj
138 set_property "transport_path_delay" "0" $obj
139 set_property "xelab.nosort" "1" $obj
140 set_property "xelab.unifast" "" $obj
141
142 # Create 'synth_1' run (if not found)
143 if {[string equal [get_runs -quiet synth_1] ""]} {
144   create_run -name synth_1 -part xc7z010clg400-1 -flow {Vivado Synthesis 2016} -strategy "Vivado Synthesis Defaults" -constrset constrs_1
145 } else {
146   set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1]
147   set_property flow "Vivado Synthesis 2016" [get_runs synth_1]
148 }
149 set obj [get_runs synth_1]
150 set_property "part" "xc7z010clg400-1" $obj
151
152 # set the current synth run
153 current_run -synthesis [get_runs synth_1]
154
155 # Create 'impl_1' run (if not found)
156 if {[string equal [get_runs -quiet impl_1] ""]} {
157   create_run -name impl_1 -part xc7z010clg400-1 -flow {Vivado Implementation 2016} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1
158 } else {
159   set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
160   set_property flow "Vivado Implementation 2016" [get_runs impl_1]
161 }
162 set obj [get_runs impl_1]
163 set_property "part" "xc7z010clg400-1" $obj
164 set_property "steps.write_bitstream.args.readback_file" "0" $obj
165 set_property "steps.write_bitstream.args.verbose" "0" $obj
166
167 # set the current impl run
168 current_run -implementation [get_runs impl_1]
169
170 # Create block design
171 #source ../src/top/top.tcl
172
173 # Upgrade IPs
174 #upgrade_ip [get_ips]
175
176 # Generate the wrapper
177 open_bd_design "$origin_dir/../src/top/top.bd"
178 set design_name [get_bd_designs]
179 set obj [get_files $design_name.bd]
180 make_wrapper -files $obj -top -import
181
182 # set the current impl run
183 set obj [get_runs impl_1]
184 set_property "needs_refresh" "1" $obj
185 set_property "steps.write_bitstream.args.readback_file" "0" $obj
186 set_property "steps.write_bitstream.args.verbose" "0" $obj
187 current_run -implementation [get_runs impl_1]
188
189 check_ip_cache -import_from_project -use_project_cache
190
191 puts "INFO: Project created:canbench"