]> rtime.felk.cvut.cz Git - fpga/zynq/canbench-sw.git/blob - system/ip/sja1000_1.0/component.xml
81980cb97e750eb02ecac8743ba4e61e691c0c9f
[fpga/zynq/canbench-sw.git] / system / ip / sja1000_1.0 / component.xml
1 <?xml version="1.0" encoding="UTF-8"?>
2 <spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
3   <spirit:vendor>user.org</spirit:vendor>
4   <spirit:library>user</spirit:library>
5   <spirit:name>sja1000</spirit:name>
6   <spirit:version>1.0</spirit:version>
7   <spirit:busInterfaces>
8     <spirit:busInterface>
9       <spirit:name>S00_AXI</spirit:name>
10       <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/>
11       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/>
12       <spirit:slave>
13         <spirit:memoryMapRef spirit:memoryMapRef="S00_AXI"/>
14       </spirit:slave>
15       <spirit:portMaps>
16         <spirit:portMap>
17           <spirit:logicalPort>
18             <spirit:name>AWADDR</spirit:name>
19           </spirit:logicalPort>
20           <spirit:physicalPort>
21             <spirit:name>s00_axi_awaddr</spirit:name>
22           </spirit:physicalPort>
23         </spirit:portMap>
24         <spirit:portMap>
25           <spirit:logicalPort>
26             <spirit:name>AWPROT</spirit:name>
27           </spirit:logicalPort>
28           <spirit:physicalPort>
29             <spirit:name>s00_axi_awprot</spirit:name>
30           </spirit:physicalPort>
31         </spirit:portMap>
32         <spirit:portMap>
33           <spirit:logicalPort>
34             <spirit:name>AWVALID</spirit:name>
35           </spirit:logicalPort>
36           <spirit:physicalPort>
37             <spirit:name>s00_axi_awvalid</spirit:name>
38           </spirit:physicalPort>
39         </spirit:portMap>
40         <spirit:portMap>
41           <spirit:logicalPort>
42             <spirit:name>AWREADY</spirit:name>
43           </spirit:logicalPort>
44           <spirit:physicalPort>
45             <spirit:name>s00_axi_awready</spirit:name>
46           </spirit:physicalPort>
47         </spirit:portMap>
48         <spirit:portMap>
49           <spirit:logicalPort>
50             <spirit:name>WDATA</spirit:name>
51           </spirit:logicalPort>
52           <spirit:physicalPort>
53             <spirit:name>s00_axi_wdata</spirit:name>
54           </spirit:physicalPort>
55         </spirit:portMap>
56         <spirit:portMap>
57           <spirit:logicalPort>
58             <spirit:name>WSTRB</spirit:name>
59           </spirit:logicalPort>
60           <spirit:physicalPort>
61             <spirit:name>s00_axi_wstrb</spirit:name>
62           </spirit:physicalPort>
63         </spirit:portMap>
64         <spirit:portMap>
65           <spirit:logicalPort>
66             <spirit:name>WVALID</spirit:name>
67           </spirit:logicalPort>
68           <spirit:physicalPort>
69             <spirit:name>s00_axi_wvalid</spirit:name>
70           </spirit:physicalPort>
71         </spirit:portMap>
72         <spirit:portMap>
73           <spirit:logicalPort>
74             <spirit:name>WREADY</spirit:name>
75           </spirit:logicalPort>
76           <spirit:physicalPort>
77             <spirit:name>s00_axi_wready</spirit:name>
78           </spirit:physicalPort>
79         </spirit:portMap>
80         <spirit:portMap>
81           <spirit:logicalPort>
82             <spirit:name>BRESP</spirit:name>
83           </spirit:logicalPort>
84           <spirit:physicalPort>
85             <spirit:name>s00_axi_bresp</spirit:name>
86           </spirit:physicalPort>
87         </spirit:portMap>
88         <spirit:portMap>
89           <spirit:logicalPort>
90             <spirit:name>BVALID</spirit:name>
91           </spirit:logicalPort>
92           <spirit:physicalPort>
93             <spirit:name>s00_axi_bvalid</spirit:name>
94           </spirit:physicalPort>
95         </spirit:portMap>
96         <spirit:portMap>
97           <spirit:logicalPort>
98             <spirit:name>BREADY</spirit:name>
99           </spirit:logicalPort>
100           <spirit:physicalPort>
101             <spirit:name>s00_axi_bready</spirit:name>
102           </spirit:physicalPort>
103         </spirit:portMap>
104         <spirit:portMap>
105           <spirit:logicalPort>
106             <spirit:name>ARADDR</spirit:name>
107           </spirit:logicalPort>
108           <spirit:physicalPort>
109             <spirit:name>s00_axi_araddr</spirit:name>
110           </spirit:physicalPort>
111         </spirit:portMap>
112         <spirit:portMap>
113           <spirit:logicalPort>
114             <spirit:name>ARPROT</spirit:name>
115           </spirit:logicalPort>
116           <spirit:physicalPort>
117             <spirit:name>s00_axi_arprot</spirit:name>
118           </spirit:physicalPort>
119         </spirit:portMap>
120         <spirit:portMap>
121           <spirit:logicalPort>
122             <spirit:name>ARVALID</spirit:name>
123           </spirit:logicalPort>
124           <spirit:physicalPort>
125             <spirit:name>s00_axi_arvalid</spirit:name>
126           </spirit:physicalPort>
127         </spirit:portMap>
128         <spirit:portMap>
129           <spirit:logicalPort>
130             <spirit:name>ARREADY</spirit:name>
131           </spirit:logicalPort>
132           <spirit:physicalPort>
133             <spirit:name>s00_axi_arready</spirit:name>
134           </spirit:physicalPort>
135         </spirit:portMap>
136         <spirit:portMap>
137           <spirit:logicalPort>
138             <spirit:name>RDATA</spirit:name>
139           </spirit:logicalPort>
140           <spirit:physicalPort>
141             <spirit:name>s00_axi_rdata</spirit:name>
142           </spirit:physicalPort>
143         </spirit:portMap>
144         <spirit:portMap>
145           <spirit:logicalPort>
146             <spirit:name>RRESP</spirit:name>
147           </spirit:logicalPort>
148           <spirit:physicalPort>
149             <spirit:name>s00_axi_rresp</spirit:name>
150           </spirit:physicalPort>
151         </spirit:portMap>
152         <spirit:portMap>
153           <spirit:logicalPort>
154             <spirit:name>RVALID</spirit:name>
155           </spirit:logicalPort>
156           <spirit:physicalPort>
157             <spirit:name>s00_axi_rvalid</spirit:name>
158           </spirit:physicalPort>
159         </spirit:portMap>
160         <spirit:portMap>
161           <spirit:logicalPort>
162             <spirit:name>RREADY</spirit:name>
163           </spirit:logicalPort>
164           <spirit:physicalPort>
165             <spirit:name>s00_axi_rready</spirit:name>
166           </spirit:physicalPort>
167         </spirit:portMap>
168       </spirit:portMaps>
169       <spirit:parameters>
170         <spirit:parameter>
171           <spirit:name>WIZ_DATA_WIDTH</spirit:name>
172           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S00_AXI.WIZ_DATA_WIDTH" spirit:choiceRef="choice_list_ea018de4">32</spirit:value>
173         </spirit:parameter>
174         <spirit:parameter>
175           <spirit:name>WIZ_NUM_REG</spirit:name>
176           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S00_AXI.WIZ_NUM_REG" spirit:minimum="4" spirit:maximum="512" spirit:rangeType="long">255</spirit:value>
177         </spirit:parameter>
178         <spirit:parameter>
179           <spirit:name>SUPPORTS_NARROW_BURST</spirit:name>
180           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST" spirit:choiceRef="choice_pairs_ce1226b1">0</spirit:value>
181         </spirit:parameter>
182       </spirit:parameters>
183     </spirit:busInterface>
184     <spirit:busInterface>
185       <spirit:name>IRQ</spirit:name>
186       <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="interrupt" spirit:version="1.0"/>
187       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="interrupt_rtl" spirit:version="1.0"/>
188       <spirit:master/>
189       <spirit:portMaps>
190         <spirit:portMap>
191           <spirit:logicalPort>
192             <spirit:name>INTERRUPT</spirit:name>
193           </spirit:logicalPort>
194           <spirit:physicalPort>
195             <spirit:name>irq</spirit:name>
196           </spirit:physicalPort>
197         </spirit:portMap>
198       </spirit:portMaps>
199       <spirit:parameters>
200         <spirit:parameter>
201           <spirit:name>SENSITIVITY</spirit:name>
202           <spirit:value spirit:id="BUSIFPARAM_VALUE.IRQ.SENSITIVITY">LEVEL_HIGH</spirit:value>
203         </spirit:parameter>
204       </spirit:parameters>
205     </spirit:busInterface>
206     <spirit:busInterface>
207       <spirit:name>S_AXI_INTR</spirit:name>
208       <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/>
209       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/>
210       <spirit:slave>
211         <spirit:memoryMapRef spirit:memoryMapRef="S_AXI_INTR"/>
212       </spirit:slave>
213       <spirit:portMaps>
214         <spirit:portMap>
215           <spirit:logicalPort>
216             <spirit:name>AWADDR</spirit:name>
217           </spirit:logicalPort>
218           <spirit:physicalPort>
219             <spirit:name>s_axi_intr_awaddr</spirit:name>
220           </spirit:physicalPort>
221         </spirit:portMap>
222         <spirit:portMap>
223           <spirit:logicalPort>
224             <spirit:name>AWPROT</spirit:name>
225           </spirit:logicalPort>
226           <spirit:physicalPort>
227             <spirit:name>s_axi_intr_awprot</spirit:name>
228           </spirit:physicalPort>
229         </spirit:portMap>
230         <spirit:portMap>
231           <spirit:logicalPort>
232             <spirit:name>AWVALID</spirit:name>
233           </spirit:logicalPort>
234           <spirit:physicalPort>
235             <spirit:name>s_axi_intr_awvalid</spirit:name>
236           </spirit:physicalPort>
237         </spirit:portMap>
238         <spirit:portMap>
239           <spirit:logicalPort>
240             <spirit:name>AWREADY</spirit:name>
241           </spirit:logicalPort>
242           <spirit:physicalPort>
243             <spirit:name>s_axi_intr_awready</spirit:name>
244           </spirit:physicalPort>
245         </spirit:portMap>
246         <spirit:portMap>
247           <spirit:logicalPort>
248             <spirit:name>WDATA</spirit:name>
249           </spirit:logicalPort>
250           <spirit:physicalPort>
251             <spirit:name>s_axi_intr_wdata</spirit:name>
252           </spirit:physicalPort>
253         </spirit:portMap>
254         <spirit:portMap>
255           <spirit:logicalPort>
256             <spirit:name>WSTRB</spirit:name>
257           </spirit:logicalPort>
258           <spirit:physicalPort>
259             <spirit:name>s_axi_intr_wstrb</spirit:name>
260           </spirit:physicalPort>
261         </spirit:portMap>
262         <spirit:portMap>
263           <spirit:logicalPort>
264             <spirit:name>WVALID</spirit:name>
265           </spirit:logicalPort>
266           <spirit:physicalPort>
267             <spirit:name>s_axi_intr_wvalid</spirit:name>
268           </spirit:physicalPort>
269         </spirit:portMap>
270         <spirit:portMap>
271           <spirit:logicalPort>
272             <spirit:name>WREADY</spirit:name>
273           </spirit:logicalPort>
274           <spirit:physicalPort>
275             <spirit:name>s_axi_intr_wready</spirit:name>
276           </spirit:physicalPort>
277         </spirit:portMap>
278         <spirit:portMap>
279           <spirit:logicalPort>
280             <spirit:name>BRESP</spirit:name>
281           </spirit:logicalPort>
282           <spirit:physicalPort>
283             <spirit:name>s_axi_intr_bresp</spirit:name>
284           </spirit:physicalPort>
285         </spirit:portMap>
286         <spirit:portMap>
287           <spirit:logicalPort>
288             <spirit:name>BVALID</spirit:name>
289           </spirit:logicalPort>
290           <spirit:physicalPort>
291             <spirit:name>s_axi_intr_bvalid</spirit:name>
292           </spirit:physicalPort>
293         </spirit:portMap>
294         <spirit:portMap>
295           <spirit:logicalPort>
296             <spirit:name>BREADY</spirit:name>
297           </spirit:logicalPort>
298           <spirit:physicalPort>
299             <spirit:name>s_axi_intr_bready</spirit:name>
300           </spirit:physicalPort>
301         </spirit:portMap>
302         <spirit:portMap>
303           <spirit:logicalPort>
304             <spirit:name>ARADDR</spirit:name>
305           </spirit:logicalPort>
306           <spirit:physicalPort>
307             <spirit:name>s_axi_intr_araddr</spirit:name>
308           </spirit:physicalPort>
309         </spirit:portMap>
310         <spirit:portMap>
311           <spirit:logicalPort>
312             <spirit:name>ARPROT</spirit:name>
313           </spirit:logicalPort>
314           <spirit:physicalPort>
315             <spirit:name>s_axi_intr_arprot</spirit:name>
316           </spirit:physicalPort>
317         </spirit:portMap>
318         <spirit:portMap>
319           <spirit:logicalPort>
320             <spirit:name>ARVALID</spirit:name>
321           </spirit:logicalPort>
322           <spirit:physicalPort>
323             <spirit:name>s_axi_intr_arvalid</spirit:name>
324           </spirit:physicalPort>
325         </spirit:portMap>
326         <spirit:portMap>
327           <spirit:logicalPort>
328             <spirit:name>ARREADY</spirit:name>
329           </spirit:logicalPort>
330           <spirit:physicalPort>
331             <spirit:name>s_axi_intr_arready</spirit:name>
332           </spirit:physicalPort>
333         </spirit:portMap>
334         <spirit:portMap>
335           <spirit:logicalPort>
336             <spirit:name>RDATA</spirit:name>
337           </spirit:logicalPort>
338           <spirit:physicalPort>
339             <spirit:name>s_axi_intr_rdata</spirit:name>
340           </spirit:physicalPort>
341         </spirit:portMap>
342         <spirit:portMap>
343           <spirit:logicalPort>
344             <spirit:name>RRESP</spirit:name>
345           </spirit:logicalPort>
346           <spirit:physicalPort>
347             <spirit:name>s_axi_intr_rresp</spirit:name>
348           </spirit:physicalPort>
349         </spirit:portMap>
350         <spirit:portMap>
351           <spirit:logicalPort>
352             <spirit:name>RVALID</spirit:name>
353           </spirit:logicalPort>
354           <spirit:physicalPort>
355             <spirit:name>s_axi_intr_rvalid</spirit:name>
356           </spirit:physicalPort>
357         </spirit:portMap>
358         <spirit:portMap>
359           <spirit:logicalPort>
360             <spirit:name>RREADY</spirit:name>
361           </spirit:logicalPort>
362           <spirit:physicalPort>
363             <spirit:name>s_axi_intr_rready</spirit:name>
364           </spirit:physicalPort>
365         </spirit:portMap>
366       </spirit:portMaps>
367       <spirit:parameters>
368         <spirit:parameter>
369           <spirit:name>WIZ_DATA_WIDTH</spirit:name>
370           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S_AXI_INTR.WIZ_DATA_WIDTH" spirit:choiceRef="choice_list_ea018de4">32</spirit:value>
371         </spirit:parameter>
372         <spirit:parameter>
373           <spirit:name>WIZ_NUM_REG</spirit:name>
374           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S_AXI_INTR.WIZ_NUM_REG" spirit:minimum="4" spirit:maximum="512" spirit:rangeType="long">5</spirit:value>
375         </spirit:parameter>
376         <spirit:parameter>
377           <spirit:name>SUPPORTS_NARROW_BURST</spirit:name>
378           <spirit:value spirit:format="long" spirit:id="BUSIFPARAM_VALUE.S_AXI_INTR.SUPPORTS_NARROW_BURST" spirit:choiceRef="choice_pairs_ce1226b1">0</spirit:value>
379         </spirit:parameter>
380       </spirit:parameters>
381     </spirit:busInterface>
382     <spirit:busInterface>
383       <spirit:name>S_AXI_INTR_RST</spirit:name>
384       <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/>
385       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/>
386       <spirit:slave/>
387       <spirit:portMaps>
388         <spirit:portMap>
389           <spirit:logicalPort>
390             <spirit:name>RST</spirit:name>
391           </spirit:logicalPort>
392           <spirit:physicalPort>
393             <spirit:name>s_axi_intr_aresetn</spirit:name>
394           </spirit:physicalPort>
395         </spirit:portMap>
396       </spirit:portMaps>
397       <spirit:parameters>
398         <spirit:parameter>
399           <spirit:name>POLARITY</spirit:name>
400           <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_INTR_RST.POLARITY">ACTIVE_LOW</spirit:value>
401         </spirit:parameter>
402       </spirit:parameters>
403     </spirit:busInterface>
404     <spirit:busInterface>
405       <spirit:name>S_AXI_INTR_CLK</spirit:name>
406       <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
407       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
408       <spirit:slave/>
409       <spirit:portMaps>
410         <spirit:portMap>
411           <spirit:logicalPort>
412             <spirit:name>CLK</spirit:name>
413           </spirit:logicalPort>
414           <spirit:physicalPort>
415             <spirit:name>s_axi_intr_aclk</spirit:name>
416           </spirit:physicalPort>
417         </spirit:portMap>
418       </spirit:portMaps>
419       <spirit:parameters>
420         <spirit:parameter>
421           <spirit:name>ASSOCIATED_BUSIF</spirit:name>
422           <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_INTR_CLK.ASSOCIATED_BUSIF">S_AXI_INTR</spirit:value>
423         </spirit:parameter>
424         <spirit:parameter>
425           <spirit:name>ASSOCIATED_RESET</spirit:name>
426           <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_INTR_CLK.ASSOCIATED_RESET">s_axi_intr_aresetn</spirit:value>
427         </spirit:parameter>
428       </spirit:parameters>
429     </spirit:busInterface>
430     <spirit:busInterface>
431       <spirit:name>S00_AXI_RST</spirit:name>
432       <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/>
433       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/>
434       <spirit:slave/>
435       <spirit:portMaps>
436         <spirit:portMap>
437           <spirit:logicalPort>
438             <spirit:name>RST</spirit:name>
439           </spirit:logicalPort>
440           <spirit:physicalPort>
441             <spirit:name>s00_axi_aresetn</spirit:name>
442           </spirit:physicalPort>
443         </spirit:portMap>
444       </spirit:portMaps>
445       <spirit:parameters>
446         <spirit:parameter>
447           <spirit:name>POLARITY</spirit:name>
448           <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_RST.POLARITY">ACTIVE_LOW</spirit:value>
449         </spirit:parameter>
450       </spirit:parameters>
451     </spirit:busInterface>
452     <spirit:busInterface>
453       <spirit:name>S00_AXI_CLK</spirit:name>
454       <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
455       <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
456       <spirit:slave/>
457       <spirit:portMaps>
458         <spirit:portMap>
459           <spirit:logicalPort>
460             <spirit:name>CLK</spirit:name>
461           </spirit:logicalPort>
462           <spirit:physicalPort>
463             <spirit:name>s00_axi_aclk</spirit:name>
464           </spirit:physicalPort>
465         </spirit:portMap>
466       </spirit:portMaps>
467       <spirit:parameters>
468         <spirit:parameter>
469           <spirit:name>ASSOCIATED_BUSIF</spirit:name>
470           <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_CLK.ASSOCIATED_BUSIF">S00_AXI</spirit:value>
471         </spirit:parameter>
472         <spirit:parameter>
473           <spirit:name>ASSOCIATED_RESET</spirit:name>
474           <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_CLK.ASSOCIATED_RESET">s00_axi_aresetn</spirit:value>
475         </spirit:parameter>
476       </spirit:parameters>
477     </spirit:busInterface>
478   </spirit:busInterfaces>
479   <spirit:memoryMaps>
480     <spirit:memoryMap>
481       <spirit:name>S_AXI_INTR</spirit:name>
482       <spirit:addressBlock>
483         <spirit:name>S_AXI_INTR_reg</spirit:name>
484         <spirit:baseAddress spirit:format="long" spirit:resolve="user">0</spirit:baseAddress>
485         <spirit:range spirit:format="long">4096</spirit:range>
486         <spirit:width spirit:format="long">32</spirit:width>
487         <spirit:usage>register</spirit:usage>
488         <spirit:parameters>
489           <spirit:parameter>
490             <spirit:name>OFFSET_BASE_PARAM</spirit:name>
491             <spirit:value spirit:id="ADDRBLOCKPARAM_VALUE.S_AXI_INTR.S_AXI_INTR_REG.OFFSET_BASE_PARAM" spirit:dependency="ADDRBLOCKPARAM_VALUE.S_AXI_INTR_reg.OFFSET_BASE_PARAM">0</spirit:value>
492           </spirit:parameter>
493           <spirit:parameter>
494             <spirit:name>OFFSET_HIGH_PARAM</spirit:name>
495             <spirit:value spirit:id="ADDRBLOCKPARAM_VALUE.S_AXI_INTR.S_AXI_INTR_REG.OFFSET_HIGH_PARAM" spirit:dependency="ADDRBLOCKPARAM_VALUE.S_AXI_INTR_reg.OFFSET_HIGH_PARAM">0</spirit:value>
496           </spirit:parameter>
497         </spirit:parameters>
498       </spirit:addressBlock>
499     </spirit:memoryMap>
500     <spirit:memoryMap>
501       <spirit:name>S00_AXI</spirit:name>
502       <spirit:addressBlock>
503         <spirit:name>S00_AXI_reg</spirit:name>
504         <spirit:baseAddress spirit:format="long" spirit:resolve="user">0</spirit:baseAddress>
505         <spirit:range spirit:format="long">4096</spirit:range>
506         <spirit:width spirit:format="long">32</spirit:width>
507         <spirit:usage>register</spirit:usage>
508         <spirit:parameters>
509           <spirit:parameter>
510             <spirit:name>OFFSET_BASE_PARAM</spirit:name>
511             <spirit:value spirit:id="ADDRBLOCKPARAM_VALUE.S00_AXI.S00_AXI_REG.OFFSET_BASE_PARAM" spirit:dependency="ADDRBLOCKPARAM_VALUE.S00_AXI_reg.OFFSET_BASE_PARAM">0</spirit:value>
512           </spirit:parameter>
513           <spirit:parameter>
514             <spirit:name>OFFSET_HIGH_PARAM</spirit:name>
515             <spirit:value spirit:id="ADDRBLOCKPARAM_VALUE.S00_AXI.S00_AXI_REG.OFFSET_HIGH_PARAM" spirit:dependency="ADDRBLOCKPARAM_VALUE.S00_AXI_reg.OFFSET_HIGH_PARAM">0</spirit:value>
516           </spirit:parameter>
517         </spirit:parameters>
518       </spirit:addressBlock>
519     </spirit:memoryMap>
520   </spirit:memoryMaps>
521   <spirit:model>
522     <spirit:views>
523       <spirit:view>
524         <spirit:name>xilinx_vhdlsynthesis</spirit:name>
525         <spirit:displayName>VHDL Synthesis</spirit:displayName>
526         <spirit:envIdentifier>vhdlSource:vivado.xilinx.com:synthesis</spirit:envIdentifier>
527         <spirit:language>vhdl</spirit:language>
528         <spirit:modelName>sja1000_v1_0</spirit:modelName>
529         <spirit:fileSetRef>
530           <spirit:localName>xilinx_vhdlsynthesis_view_fileset</spirit:localName>
531         </spirit:fileSetRef>
532       </spirit:view>
533       <spirit:view>
534         <spirit:name>xilinx_vhdlbehavioralsimulation</spirit:name>
535         <spirit:displayName>VHDL Simulation</spirit:displayName>
536         <spirit:envIdentifier>vhdlSource:vivado.xilinx.com:simulation</spirit:envIdentifier>
537         <spirit:language>vhdl</spirit:language>
538         <spirit:modelName>sja1000_v1_0</spirit:modelName>
539         <spirit:fileSetRef>
540           <spirit:localName>xilinx_vhdlbehavioralsimulation_view_fileset</spirit:localName>
541         </spirit:fileSetRef>
542       </spirit:view>
543       <spirit:view>
544         <spirit:name>xilinx_softwaredriver</spirit:name>
545         <spirit:displayName>Software Driver</spirit:displayName>
546         <spirit:envIdentifier>:vivado.xilinx.com:sw.driver</spirit:envIdentifier>
547         <spirit:fileSetRef>
548           <spirit:localName>xilinx_softwaredriver_view_fileset</spirit:localName>
549         </spirit:fileSetRef>
550       </spirit:view>
551       <spirit:view>
552         <spirit:name>xilinx_xpgui</spirit:name>
553         <spirit:displayName>UI Layout</spirit:displayName>
554         <spirit:envIdentifier>:vivado.xilinx.com:xgui.ui</spirit:envIdentifier>
555         <spirit:fileSetRef>
556           <spirit:localName>xilinx_xpgui_view_fileset</spirit:localName>
557         </spirit:fileSetRef>
558       </spirit:view>
559       <spirit:view>
560         <spirit:name>bd_tcl</spirit:name>
561         <spirit:displayName>Block Diagram</spirit:displayName>
562         <spirit:envIdentifier>:vivado.xilinx.com:block.diagram</spirit:envIdentifier>
563         <spirit:fileSetRef>
564           <spirit:localName>bd_tcl_view_fileset</spirit:localName>
565         </spirit:fileSetRef>
566       </spirit:view>
567     </spirit:views>
568     <spirit:ports>
569       <spirit:port>
570         <spirit:name>s_axi_intr_awaddr</spirit:name>
571         <spirit:wire>
572           <spirit:direction>in</spirit:direction>
573           <spirit:vector>
574             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_INTR_ADDR_WIDTH&apos;))-1)">4</spirit:left>
575             <spirit:right spirit:format="long">0</spirit:right>
576           </spirit:vector>
577           <spirit:wireTypeDefs>
578             <spirit:wireTypeDef>
579               <spirit:typeName>wire</spirit:typeName>
580               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
581               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
582             </spirit:wireTypeDef>
583           </spirit:wireTypeDefs>
584         </spirit:wire>
585       </spirit:port>
586       <spirit:port>
587         <spirit:name>s_axi_intr_awprot</spirit:name>
588         <spirit:wire>
589           <spirit:direction>in</spirit:direction>
590           <spirit:vector>
591             <spirit:left spirit:format="long">2</spirit:left>
592             <spirit:right spirit:format="long">0</spirit:right>
593           </spirit:vector>
594           <spirit:wireTypeDefs>
595             <spirit:wireTypeDef>
596               <spirit:typeName>wire</spirit:typeName>
597               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
598               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
599             </spirit:wireTypeDef>
600           </spirit:wireTypeDefs>
601         </spirit:wire>
602       </spirit:port>
603       <spirit:port>
604         <spirit:name>s_axi_intr_awvalid</spirit:name>
605         <spirit:wire>
606           <spirit:direction>in</spirit:direction>
607           <spirit:wireTypeDefs>
608             <spirit:wireTypeDef>
609               <spirit:typeName>wire</spirit:typeName>
610               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
611               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
612             </spirit:wireTypeDef>
613           </spirit:wireTypeDefs>
614         </spirit:wire>
615       </spirit:port>
616       <spirit:port>
617         <spirit:name>s_axi_intr_awready</spirit:name>
618         <spirit:wire>
619           <spirit:direction>out</spirit:direction>
620           <spirit:wireTypeDefs>
621             <spirit:wireTypeDef>
622               <spirit:typeName>wire</spirit:typeName>
623               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
624               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
625             </spirit:wireTypeDef>
626           </spirit:wireTypeDefs>
627         </spirit:wire>
628       </spirit:port>
629       <spirit:port>
630         <spirit:name>s_axi_intr_wdata</spirit:name>
631         <spirit:wire>
632           <spirit:direction>in</spirit:direction>
633           <spirit:vector>
634             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_INTR_DATA_WIDTH&apos;))-1)">31</spirit:left>
635             <spirit:right spirit:format="long">0</spirit:right>
636           </spirit:vector>
637           <spirit:wireTypeDefs>
638             <spirit:wireTypeDef>
639               <spirit:typeName>wire</spirit:typeName>
640               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
641               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
642             </spirit:wireTypeDef>
643           </spirit:wireTypeDefs>
644         </spirit:wire>
645       </spirit:port>
646       <spirit:port>
647         <spirit:name>s_axi_intr_wstrb</spirit:name>
648         <spirit:wire>
649           <spirit:direction>in</spirit:direction>
650           <spirit:vector>
651             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_INTR_DATA_WIDTH&apos;))/8)-1)">3</spirit:left>
652             <spirit:right spirit:format="long">0</spirit:right>
653           </spirit:vector>
654           <spirit:wireTypeDefs>
655             <spirit:wireTypeDef>
656               <spirit:typeName>wire</spirit:typeName>
657               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
658               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
659             </spirit:wireTypeDef>
660           </spirit:wireTypeDefs>
661         </spirit:wire>
662       </spirit:port>
663       <spirit:port>
664         <spirit:name>s_axi_intr_wvalid</spirit:name>
665         <spirit:wire>
666           <spirit:direction>in</spirit:direction>
667           <spirit:wireTypeDefs>
668             <spirit:wireTypeDef>
669               <spirit:typeName>wire</spirit:typeName>
670               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
671               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
672             </spirit:wireTypeDef>
673           </spirit:wireTypeDefs>
674         </spirit:wire>
675       </spirit:port>
676       <spirit:port>
677         <spirit:name>s_axi_intr_wready</spirit:name>
678         <spirit:wire>
679           <spirit:direction>out</spirit:direction>
680           <spirit:wireTypeDefs>
681             <spirit:wireTypeDef>
682               <spirit:typeName>wire</spirit:typeName>
683               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
684               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
685             </spirit:wireTypeDef>
686           </spirit:wireTypeDefs>
687         </spirit:wire>
688       </spirit:port>
689       <spirit:port>
690         <spirit:name>s_axi_intr_bresp</spirit:name>
691         <spirit:wire>
692           <spirit:direction>out</spirit:direction>
693           <spirit:vector>
694             <spirit:left spirit:format="long">1</spirit:left>
695             <spirit:right spirit:format="long">0</spirit:right>
696           </spirit:vector>
697           <spirit:wireTypeDefs>
698             <spirit:wireTypeDef>
699               <spirit:typeName>wire</spirit:typeName>
700               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
701               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
702             </spirit:wireTypeDef>
703           </spirit:wireTypeDefs>
704         </spirit:wire>
705       </spirit:port>
706       <spirit:port>
707         <spirit:name>s_axi_intr_bvalid</spirit:name>
708         <spirit:wire>
709           <spirit:direction>out</spirit:direction>
710           <spirit:wireTypeDefs>
711             <spirit:wireTypeDef>
712               <spirit:typeName>wire</spirit:typeName>
713               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
714               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
715             </spirit:wireTypeDef>
716           </spirit:wireTypeDefs>
717         </spirit:wire>
718       </spirit:port>
719       <spirit:port>
720         <spirit:name>s_axi_intr_bready</spirit:name>
721         <spirit:wire>
722           <spirit:direction>in</spirit:direction>
723           <spirit:wireTypeDefs>
724             <spirit:wireTypeDef>
725               <spirit:typeName>wire</spirit:typeName>
726               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
727               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
728             </spirit:wireTypeDef>
729           </spirit:wireTypeDefs>
730         </spirit:wire>
731       </spirit:port>
732       <spirit:port>
733         <spirit:name>s_axi_intr_araddr</spirit:name>
734         <spirit:wire>
735           <spirit:direction>in</spirit:direction>
736           <spirit:vector>
737             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_INTR_ADDR_WIDTH&apos;))-1)">4</spirit:left>
738             <spirit:right spirit:format="long">0</spirit:right>
739           </spirit:vector>
740           <spirit:wireTypeDefs>
741             <spirit:wireTypeDef>
742               <spirit:typeName>wire</spirit:typeName>
743               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
744               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
745             </spirit:wireTypeDef>
746           </spirit:wireTypeDefs>
747         </spirit:wire>
748       </spirit:port>
749       <spirit:port>
750         <spirit:name>s_axi_intr_arprot</spirit:name>
751         <spirit:wire>
752           <spirit:direction>in</spirit:direction>
753           <spirit:vector>
754             <spirit:left spirit:format="long">2</spirit:left>
755             <spirit:right spirit:format="long">0</spirit:right>
756           </spirit:vector>
757           <spirit:wireTypeDefs>
758             <spirit:wireTypeDef>
759               <spirit:typeName>wire</spirit:typeName>
760               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
761               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
762             </spirit:wireTypeDef>
763           </spirit:wireTypeDefs>
764         </spirit:wire>
765       </spirit:port>
766       <spirit:port>
767         <spirit:name>s_axi_intr_arvalid</spirit:name>
768         <spirit:wire>
769           <spirit:direction>in</spirit:direction>
770           <spirit:wireTypeDefs>
771             <spirit:wireTypeDef>
772               <spirit:typeName>wire</spirit:typeName>
773               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
774               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
775             </spirit:wireTypeDef>
776           </spirit:wireTypeDefs>
777         </spirit:wire>
778       </spirit:port>
779       <spirit:port>
780         <spirit:name>s_axi_intr_arready</spirit:name>
781         <spirit:wire>
782           <spirit:direction>out</spirit:direction>
783           <spirit:wireTypeDefs>
784             <spirit:wireTypeDef>
785               <spirit:typeName>wire</spirit:typeName>
786               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
787               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
788             </spirit:wireTypeDef>
789           </spirit:wireTypeDefs>
790         </spirit:wire>
791       </spirit:port>
792       <spirit:port>
793         <spirit:name>s_axi_intr_rdata</spirit:name>
794         <spirit:wire>
795           <spirit:direction>out</spirit:direction>
796           <spirit:vector>
797             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_INTR_DATA_WIDTH&apos;))-1)">31</spirit:left>
798             <spirit:right spirit:format="long">0</spirit:right>
799           </spirit:vector>
800           <spirit:wireTypeDefs>
801             <spirit:wireTypeDef>
802               <spirit:typeName>wire</spirit:typeName>
803               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
804               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
805             </spirit:wireTypeDef>
806           </spirit:wireTypeDefs>
807         </spirit:wire>
808       </spirit:port>
809       <spirit:port>
810         <spirit:name>s_axi_intr_rresp</spirit:name>
811         <spirit:wire>
812           <spirit:direction>out</spirit:direction>
813           <spirit:vector>
814             <spirit:left spirit:format="long">1</spirit:left>
815             <spirit:right spirit:format="long">0</spirit:right>
816           </spirit:vector>
817           <spirit:wireTypeDefs>
818             <spirit:wireTypeDef>
819               <spirit:typeName>wire</spirit:typeName>
820               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
821               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
822             </spirit:wireTypeDef>
823           </spirit:wireTypeDefs>
824         </spirit:wire>
825       </spirit:port>
826       <spirit:port>
827         <spirit:name>s_axi_intr_rvalid</spirit:name>
828         <spirit:wire>
829           <spirit:direction>out</spirit:direction>
830           <spirit:wireTypeDefs>
831             <spirit:wireTypeDef>
832               <spirit:typeName>wire</spirit:typeName>
833               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
834               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
835             </spirit:wireTypeDef>
836           </spirit:wireTypeDefs>
837         </spirit:wire>
838       </spirit:port>
839       <spirit:port>
840         <spirit:name>s_axi_intr_rready</spirit:name>
841         <spirit:wire>
842           <spirit:direction>in</spirit:direction>
843           <spirit:wireTypeDefs>
844             <spirit:wireTypeDef>
845               <spirit:typeName>wire</spirit:typeName>
846               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
847               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
848             </spirit:wireTypeDef>
849           </spirit:wireTypeDefs>
850         </spirit:wire>
851       </spirit:port>
852       <spirit:port>
853         <spirit:name>s_axi_intr_aclk</spirit:name>
854         <spirit:wire>
855           <spirit:direction>in</spirit:direction>
856           <spirit:wireTypeDefs>
857             <spirit:wireTypeDef>
858               <spirit:typeName>wire</spirit:typeName>
859               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
860               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
861             </spirit:wireTypeDef>
862           </spirit:wireTypeDefs>
863         </spirit:wire>
864       </spirit:port>
865       <spirit:port>
866         <spirit:name>s_axi_intr_aresetn</spirit:name>
867         <spirit:wire>
868           <spirit:direction>in</spirit:direction>
869           <spirit:wireTypeDefs>
870             <spirit:wireTypeDef>
871               <spirit:typeName>wire</spirit:typeName>
872               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
873               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
874             </spirit:wireTypeDef>
875           </spirit:wireTypeDefs>
876         </spirit:wire>
877       </spirit:port>
878       <spirit:port>
879         <spirit:name>irq</spirit:name>
880         <spirit:wire>
881           <spirit:direction>out</spirit:direction>
882           <spirit:wireTypeDefs>
883             <spirit:wireTypeDef>
884               <spirit:typeName>wire</spirit:typeName>
885               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
886               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
887             </spirit:wireTypeDef>
888           </spirit:wireTypeDefs>
889         </spirit:wire>
890       </spirit:port>
891       <spirit:port>
892         <spirit:name>s00_axi_awaddr</spirit:name>
893         <spirit:wire>
894           <spirit:direction>in</spirit:direction>
895           <spirit:vector>
896             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH&apos;))-1)">9</spirit:left>
897             <spirit:right spirit:format="long">0</spirit:right>
898           </spirit:vector>
899           <spirit:wireTypeDefs>
900             <spirit:wireTypeDef>
901               <spirit:typeName>wire</spirit:typeName>
902               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
903               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
904             </spirit:wireTypeDef>
905           </spirit:wireTypeDefs>
906         </spirit:wire>
907       </spirit:port>
908       <spirit:port>
909         <spirit:name>s00_axi_awprot</spirit:name>
910         <spirit:wire>
911           <spirit:direction>in</spirit:direction>
912           <spirit:vector>
913             <spirit:left spirit:format="long">2</spirit:left>
914             <spirit:right spirit:format="long">0</spirit:right>
915           </spirit:vector>
916           <spirit:wireTypeDefs>
917             <spirit:wireTypeDef>
918               <spirit:typeName>wire</spirit:typeName>
919               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
920               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
921             </spirit:wireTypeDef>
922           </spirit:wireTypeDefs>
923         </spirit:wire>
924       </spirit:port>
925       <spirit:port>
926         <spirit:name>s00_axi_awvalid</spirit:name>
927         <spirit:wire>
928           <spirit:direction>in</spirit:direction>
929           <spirit:wireTypeDefs>
930             <spirit:wireTypeDef>
931               <spirit:typeName>wire</spirit:typeName>
932               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
933               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
934             </spirit:wireTypeDef>
935           </spirit:wireTypeDefs>
936         </spirit:wire>
937       </spirit:port>
938       <spirit:port>
939         <spirit:name>s00_axi_awready</spirit:name>
940         <spirit:wire>
941           <spirit:direction>out</spirit:direction>
942           <spirit:wireTypeDefs>
943             <spirit:wireTypeDef>
944               <spirit:typeName>wire</spirit:typeName>
945               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
946               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
947             </spirit:wireTypeDef>
948           </spirit:wireTypeDefs>
949         </spirit:wire>
950       </spirit:port>
951       <spirit:port>
952         <spirit:name>s00_axi_wdata</spirit:name>
953         <spirit:wire>
954           <spirit:direction>in</spirit:direction>
955           <spirit:vector>
956             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH&apos;))-1)">31</spirit:left>
957             <spirit:right spirit:format="long">0</spirit:right>
958           </spirit:vector>
959           <spirit:wireTypeDefs>
960             <spirit:wireTypeDef>
961               <spirit:typeName>wire</spirit:typeName>
962               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
963               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
964             </spirit:wireTypeDef>
965           </spirit:wireTypeDefs>
966         </spirit:wire>
967       </spirit:port>
968       <spirit:port>
969         <spirit:name>s00_axi_wstrb</spirit:name>
970         <spirit:wire>
971           <spirit:direction>in</spirit:direction>
972           <spirit:vector>
973             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH&apos;))/8)-1)">3</spirit:left>
974             <spirit:right spirit:format="long">0</spirit:right>
975           </spirit:vector>
976           <spirit:wireTypeDefs>
977             <spirit:wireTypeDef>
978               <spirit:typeName>wire</spirit:typeName>
979               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
980               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
981             </spirit:wireTypeDef>
982           </spirit:wireTypeDefs>
983         </spirit:wire>
984       </spirit:port>
985       <spirit:port>
986         <spirit:name>s00_axi_wvalid</spirit:name>
987         <spirit:wire>
988           <spirit:direction>in</spirit:direction>
989           <spirit:wireTypeDefs>
990             <spirit:wireTypeDef>
991               <spirit:typeName>wire</spirit:typeName>
992               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
993               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
994             </spirit:wireTypeDef>
995           </spirit:wireTypeDefs>
996         </spirit:wire>
997       </spirit:port>
998       <spirit:port>
999         <spirit:name>s00_axi_wready</spirit:name>
1000         <spirit:wire>
1001           <spirit:direction>out</spirit:direction>
1002           <spirit:wireTypeDefs>
1003             <spirit:wireTypeDef>
1004               <spirit:typeName>wire</spirit:typeName>
1005               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
1006               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
1007             </spirit:wireTypeDef>
1008           </spirit:wireTypeDefs>
1009         </spirit:wire>
1010       </spirit:port>
1011       <spirit:port>
1012         <spirit:name>s00_axi_bresp</spirit:name>
1013         <spirit:wire>
1014           <spirit:direction>out</spirit:direction>
1015           <spirit:vector>
1016             <spirit:left spirit:format="long">1</spirit:left>
1017             <spirit:right spirit:format="long">0</spirit:right>
1018           </spirit:vector>
1019           <spirit:wireTypeDefs>
1020             <spirit:wireTypeDef>
1021               <spirit:typeName>wire</spirit:typeName>
1022               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
1023               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
1024             </spirit:wireTypeDef>
1025           </spirit:wireTypeDefs>
1026         </spirit:wire>
1027       </spirit:port>
1028       <spirit:port>
1029         <spirit:name>s00_axi_bvalid</spirit:name>
1030         <spirit:wire>
1031           <spirit:direction>out</spirit:direction>
1032           <spirit:wireTypeDefs>
1033             <spirit:wireTypeDef>
1034               <spirit:typeName>wire</spirit:typeName>
1035               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
1036               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
1037             </spirit:wireTypeDef>
1038           </spirit:wireTypeDefs>
1039         </spirit:wire>
1040       </spirit:port>
1041       <spirit:port>
1042         <spirit:name>s00_axi_bready</spirit:name>
1043         <spirit:wire>
1044           <spirit:direction>in</spirit:direction>
1045           <spirit:wireTypeDefs>
1046             <spirit:wireTypeDef>
1047               <spirit:typeName>wire</spirit:typeName>
1048               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
1049               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
1050             </spirit:wireTypeDef>
1051           </spirit:wireTypeDefs>
1052         </spirit:wire>
1053       </spirit:port>
1054       <spirit:port>
1055         <spirit:name>s00_axi_araddr</spirit:name>
1056         <spirit:wire>
1057           <spirit:direction>in</spirit:direction>
1058           <spirit:vector>
1059             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH&apos;))-1)">9</spirit:left>
1060             <spirit:right spirit:format="long">0</spirit:right>
1061           </spirit:vector>
1062           <spirit:wireTypeDefs>
1063             <spirit:wireTypeDef>
1064               <spirit:typeName>wire</spirit:typeName>
1065               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
1066               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
1067             </spirit:wireTypeDef>
1068           </spirit:wireTypeDefs>
1069         </spirit:wire>
1070       </spirit:port>
1071       <spirit:port>
1072         <spirit:name>s00_axi_arprot</spirit:name>
1073         <spirit:wire>
1074           <spirit:direction>in</spirit:direction>
1075           <spirit:vector>
1076             <spirit:left spirit:format="long">2</spirit:left>
1077             <spirit:right spirit:format="long">0</spirit:right>
1078           </spirit:vector>
1079           <spirit:wireTypeDefs>
1080             <spirit:wireTypeDef>
1081               <spirit:typeName>wire</spirit:typeName>
1082               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
1083               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
1084             </spirit:wireTypeDef>
1085           </spirit:wireTypeDefs>
1086         </spirit:wire>
1087       </spirit:port>
1088       <spirit:port>
1089         <spirit:name>s00_axi_arvalid</spirit:name>
1090         <spirit:wire>
1091           <spirit:direction>in</spirit:direction>
1092           <spirit:wireTypeDefs>
1093             <spirit:wireTypeDef>
1094               <spirit:typeName>wire</spirit:typeName>
1095               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
1096               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
1097             </spirit:wireTypeDef>
1098           </spirit:wireTypeDefs>
1099         </spirit:wire>
1100       </spirit:port>
1101       <spirit:port>
1102         <spirit:name>s00_axi_arready</spirit:name>
1103         <spirit:wire>
1104           <spirit:direction>out</spirit:direction>
1105           <spirit:wireTypeDefs>
1106             <spirit:wireTypeDef>
1107               <spirit:typeName>wire</spirit:typeName>
1108               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
1109               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
1110             </spirit:wireTypeDef>
1111           </spirit:wireTypeDefs>
1112         </spirit:wire>
1113       </spirit:port>
1114       <spirit:port>
1115         <spirit:name>s00_axi_rdata</spirit:name>
1116         <spirit:wire>
1117           <spirit:direction>out</spirit:direction>
1118           <spirit:vector>
1119             <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH&apos;))-1)">31</spirit:left>
1120             <spirit:right spirit:format="long">0</spirit:right>
1121           </spirit:vector>
1122           <spirit:wireTypeDefs>
1123             <spirit:wireTypeDef>
1124               <spirit:typeName>wire</spirit:typeName>
1125               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
1126               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
1127             </spirit:wireTypeDef>
1128           </spirit:wireTypeDefs>
1129         </spirit:wire>
1130       </spirit:port>
1131       <spirit:port>
1132         <spirit:name>s00_axi_rresp</spirit:name>
1133         <spirit:wire>
1134           <spirit:direction>out</spirit:direction>
1135           <spirit:vector>
1136             <spirit:left spirit:format="long">1</spirit:left>
1137             <spirit:right spirit:format="long">0</spirit:right>
1138           </spirit:vector>
1139           <spirit:wireTypeDefs>
1140             <spirit:wireTypeDef>
1141               <spirit:typeName>wire</spirit:typeName>
1142               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
1143               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
1144             </spirit:wireTypeDef>
1145           </spirit:wireTypeDefs>
1146         </spirit:wire>
1147       </spirit:port>
1148       <spirit:port>
1149         <spirit:name>s00_axi_rvalid</spirit:name>
1150         <spirit:wire>
1151           <spirit:direction>out</spirit:direction>
1152           <spirit:wireTypeDefs>
1153             <spirit:wireTypeDef>
1154               <spirit:typeName>wire</spirit:typeName>
1155               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
1156               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
1157             </spirit:wireTypeDef>
1158           </spirit:wireTypeDefs>
1159         </spirit:wire>
1160       </spirit:port>
1161       <spirit:port>
1162         <spirit:name>s00_axi_rready</spirit:name>
1163         <spirit:wire>
1164           <spirit:direction>in</spirit:direction>
1165           <spirit:wireTypeDefs>
1166             <spirit:wireTypeDef>
1167               <spirit:typeName>wire</spirit:typeName>
1168               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
1169               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
1170             </spirit:wireTypeDef>
1171           </spirit:wireTypeDefs>
1172         </spirit:wire>
1173       </spirit:port>
1174       <spirit:port>
1175         <spirit:name>s00_axi_aclk</spirit:name>
1176         <spirit:wire>
1177           <spirit:direction>in</spirit:direction>
1178           <spirit:wireTypeDefs>
1179             <spirit:wireTypeDef>
1180               <spirit:typeName>wire</spirit:typeName>
1181               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
1182               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
1183             </spirit:wireTypeDef>
1184           </spirit:wireTypeDefs>
1185         </spirit:wire>
1186       </spirit:port>
1187       <spirit:port>
1188         <spirit:name>s00_axi_aresetn</spirit:name>
1189         <spirit:wire>
1190           <spirit:direction>in</spirit:direction>
1191           <spirit:wireTypeDefs>
1192             <spirit:wireTypeDef>
1193               <spirit:typeName>wire</spirit:typeName>
1194               <spirit:viewNameRef>xilinx_vhdlsynthesis</spirit:viewNameRef>
1195               <spirit:viewNameRef>xilinx_vhdlbehavioralsimulation</spirit:viewNameRef>
1196             </spirit:wireTypeDef>
1197           </spirit:wireTypeDefs>
1198         </spirit:wire>
1199       </spirit:port>
1200     </spirit:ports>
1201     <spirit:modelParameters>
1202       <spirit:modelParameter xsi:type="spirit:nameValueTypeType" spirit:dataType="integer">
1203         <spirit:name>C_S_AXI_INTR_DATA_WIDTH</spirit:name>
1204         <spirit:displayName>C S AXI INTR DATA WIDTH</spirit:displayName>
1205         <spirit:description>Width of S_AXI data bus</spirit:description>
1206         <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_INTR_DATA_WIDTH" spirit:order="3" spirit:rangeType="long">32</spirit:value>
1207       </spirit:modelParameter>
1208       <spirit:modelParameter spirit:dataType="integer">
1209         <spirit:name>C_S_AXI_INTR_ADDR_WIDTH</spirit:name>
1210         <spirit:displayName>C S AXI INTR ADDR WIDTH</spirit:displayName>
1211         <spirit:description>Width of S_AXI address bus</spirit:description>
1212         <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_INTR_ADDR_WIDTH" spirit:order="4" spirit:rangeType="long">5</spirit:value>
1213       </spirit:modelParameter>
1214       <spirit:modelParameter spirit:dataType="integer">
1215         <spirit:name>C_NUM_OF_INTR</spirit:name>
1216         <spirit:displayName>C NUM OF INTR</spirit:displayName>
1217         <spirit:description>Number of Interrupts</spirit:description>
1218         <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_NUM_OF_INTR" spirit:order="5" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">1</spirit:value>
1219       </spirit:modelParameter>
1220       <spirit:modelParameter spirit:dataType="std_logic_vector">
1221         <spirit:name>C_INTR_SENSITIVITY</spirit:name>
1222         <spirit:displayName>C INTR SENSITIVITY</spirit:displayName>
1223         <spirit:description>Each bit corresponds to Sensitivity of interrupt :  0 - EDGE, 1 - LEVEL</spirit:description>
1224         <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INTR_SENSITIVITY" spirit:order="6" spirit:bitStringLength="32">0xFFFFFFFF</spirit:value>
1225       </spirit:modelParameter>
1226       <spirit:modelParameter spirit:dataType="std_logic_vector">
1227         <spirit:name>C_INTR_ACTIVE_STATE</spirit:name>
1228         <spirit:displayName>C INTR ACTIVE STATE</spirit:displayName>
1229         <spirit:description>Each bit corresponds to Sub-type of INTR: [0 - FALLING_EDGE, 1 - RISING_EDGE : if C_INTR_SENSITIVITY is EDGE(0)] and [ 0 - LEVEL_LOW, 1 - LEVEL_LOW : if C_INTR_SENSITIVITY is LEVEL(1) ]</spirit:description>
1230         <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INTR_ACTIVE_STATE" spirit:order="7" spirit:bitStringLength="32">0xFFFFFFFF</spirit:value>
1231       </spirit:modelParameter>
1232       <spirit:modelParameter spirit:dataType="integer">
1233         <spirit:name>C_IRQ_SENSITIVITY</spirit:name>
1234         <spirit:displayName>C IRQ SENSITIVITY</spirit:displayName>
1235         <spirit:description>Sensitivity of IRQ: 0 - EDGE, 1 - LEVEL</spirit:description>
1236         <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_IRQ_SENSITIVITY" spirit:order="8" spirit:minimum="0" spirit:maximum="1" spirit:rangeType="long">1</spirit:value>
1237       </spirit:modelParameter>
1238       <spirit:modelParameter spirit:dataType="integer">
1239         <spirit:name>C_IRQ_ACTIVE_STATE</spirit:name>
1240         <spirit:displayName>C IRQ ACTIVE STATE</spirit:displayName>
1241         <spirit:description>Sub-type of IRQ: [0 - FALLING_EDGE, 1 - RISING_EDGE : if C_IRQ_SENSITIVITY is EDGE(0)] and [ 0 - LEVEL_LOW, 1 - LEVEL_LOW : if C_IRQ_SENSITIVITY is LEVEL(1) ]</spirit:description>
1242         <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_IRQ_ACTIVE_STATE" spirit:order="9" spirit:minimum="0" spirit:maximum="1" spirit:rangeType="long">1</spirit:value>
1243       </spirit:modelParameter>
1244       <spirit:modelParameter spirit:dataType="integer">
1245         <spirit:name>C_S00_AXI_DATA_WIDTH</spirit:name>
1246         <spirit:displayName>C S00 AXI DATA WIDTH</spirit:displayName>
1247         <spirit:description>Width of S_AXI data bus</spirit:description>
1248         <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH" spirit:order="12" spirit:rangeType="long">32</spirit:value>
1249       </spirit:modelParameter>
1250       <spirit:modelParameter spirit:dataType="integer">
1251         <spirit:name>C_S00_AXI_ADDR_WIDTH</spirit:name>
1252         <spirit:displayName>C S00 AXI ADDR WIDTH</spirit:displayName>
1253         <spirit:description>Width of S_AXI address bus</spirit:description>
1254         <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH" spirit:order="13" spirit:rangeType="long">10</spirit:value>
1255       </spirit:modelParameter>
1256     </spirit:modelParameters>
1257   </spirit:model>
1258   <spirit:choices>
1259     <spirit:choice>
1260       <spirit:name>choice_list_ea018de4</spirit:name>
1261       <spirit:enumeration>32</spirit:enumeration>
1262     </spirit:choice>
1263     <spirit:choice>
1264       <spirit:name>choice_pairs_ce1226b1</spirit:name>
1265       <spirit:enumeration spirit:text="true">1</spirit:enumeration>
1266       <spirit:enumeration spirit:text="false">0</spirit:enumeration>
1267     </spirit:choice>
1268   </spirit:choices>
1269   <spirit:fileSets>
1270     <spirit:fileSet>
1271       <spirit:name>xilinx_vhdlsynthesis_view_fileset</spirit:name>
1272       <spirit:file>
1273         <spirit:name>hdl/sja1000_v1_0_S00_AXI.vhd</spirit:name>
1274         <spirit:fileType>vhdlSource</spirit:fileType>
1275       </spirit:file>
1276       <spirit:file>
1277         <spirit:name>hdl/sja1000_v1_0_S_AXI_INTR.vhd</spirit:name>
1278         <spirit:fileType>vhdlSource</spirit:fileType>
1279       </spirit:file>
1280       <spirit:file>
1281         <spirit:name>hdl/sja1000_v1_0.vhd</spirit:name>
1282         <spirit:fileType>vhdlSource</spirit:fileType>
1283         <spirit:userFileType>CHECKSUM_c0f4fbf5</spirit:userFileType>
1284       </spirit:file>
1285     </spirit:fileSet>
1286     <spirit:fileSet>
1287       <spirit:name>xilinx_vhdlbehavioralsimulation_view_fileset</spirit:name>
1288       <spirit:file>
1289         <spirit:name>hdl/sja1000_v1_0_S00_AXI.vhd</spirit:name>
1290         <spirit:fileType>vhdlSource</spirit:fileType>
1291         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
1292       </spirit:file>
1293       <spirit:file>
1294         <spirit:name>hdl/sja1000_v1_0_S_AXI_INTR.vhd</spirit:name>
1295         <spirit:fileType>vhdlSource</spirit:fileType>
1296         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
1297       </spirit:file>
1298       <spirit:file>
1299         <spirit:name>hdl/sja1000_v1_0.vhd</spirit:name>
1300         <spirit:fileType>vhdlSource</spirit:fileType>
1301         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
1302       </spirit:file>
1303     </spirit:fileSet>
1304     <spirit:fileSet>
1305       <spirit:name>xilinx_softwaredriver_view_fileset</spirit:name>
1306       <spirit:file>
1307         <spirit:name>drivers/sja1000_v1_0/data/sja1000.mdd</spirit:name>
1308         <spirit:userFileType>mdd</spirit:userFileType>
1309         <spirit:userFileType>driver_mdd</spirit:userFileType>
1310       </spirit:file>
1311       <spirit:file>
1312         <spirit:name>drivers/sja1000_v1_0/data/sja1000.tcl</spirit:name>
1313         <spirit:fileType>tclSource</spirit:fileType>
1314         <spirit:userFileType>driver_tcl</spirit:userFileType>
1315       </spirit:file>
1316       <spirit:file>
1317         <spirit:name>drivers/sja1000_v1_0/src/Makefile</spirit:name>
1318         <spirit:userFileType>driver_src</spirit:userFileType>
1319       </spirit:file>
1320       <spirit:file>
1321         <spirit:name>drivers/sja1000_v1_0/src/sja1000.h</spirit:name>
1322         <spirit:fileType>cSource</spirit:fileType>
1323         <spirit:userFileType>driver_src</spirit:userFileType>
1324       </spirit:file>
1325       <spirit:file>
1326         <spirit:name>drivers/sja1000_v1_0/src/sja1000.c</spirit:name>
1327         <spirit:fileType>cSource</spirit:fileType>
1328         <spirit:userFileType>driver_src</spirit:userFileType>
1329       </spirit:file>
1330       <spirit:file>
1331         <spirit:name>drivers/sja1000_v1_0/src/sja1000_selftest.c</spirit:name>
1332         <spirit:fileType>cSource</spirit:fileType>
1333         <spirit:userFileType>driver_src</spirit:userFileType>
1334       </spirit:file>
1335     </spirit:fileSet>
1336     <spirit:fileSet>
1337       <spirit:name>xilinx_xpgui_view_fileset</spirit:name>
1338       <spirit:file>
1339         <spirit:name>xgui/sja1000_v1_0.tcl</spirit:name>
1340         <spirit:fileType>tclSource</spirit:fileType>
1341         <spirit:userFileType>CHECKSUM_9907a0a4</spirit:userFileType>
1342         <spirit:userFileType>XGUI_VERSION_2</spirit:userFileType>
1343       </spirit:file>
1344     </spirit:fileSet>
1345     <spirit:fileSet>
1346       <spirit:name>bd_tcl_view_fileset</spirit:name>
1347       <spirit:file>
1348         <spirit:name>bd/bd.tcl</spirit:name>
1349         <spirit:fileType>tclSource</spirit:fileType>
1350       </spirit:file>
1351     </spirit:fileSet>
1352   </spirit:fileSets>
1353   <spirit:description>SJA1000 Soft Core</spirit:description>
1354   <spirit:parameters>
1355     <spirit:parameter>
1356       <spirit:name>C_S_AXI_INTR_DATA_WIDTH</spirit:name>
1357       <spirit:displayName>C S AXI INTR DATA WIDTH</spirit:displayName>
1358       <spirit:description>Width of S_AXI data bus</spirit:description>
1359       <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S_AXI_INTR_DATA_WIDTH" spirit:choiceRef="choice_list_ea018de4" spirit:order="3">32</spirit:value>
1360       <spirit:vendorExtensions>
1361         <xilinx:parameterInfo>
1362           <xilinx:enablement>
1363             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S_AXI_INTR_DATA_WIDTH">false</xilinx:isEnabled>
1364           </xilinx:enablement>
1365         </xilinx:parameterInfo>
1366       </spirit:vendorExtensions>
1367     </spirit:parameter>
1368     <spirit:parameter>
1369       <spirit:name>C_S_AXI_INTR_ADDR_WIDTH</spirit:name>
1370       <spirit:displayName>C S AXI INTR ADDR WIDTH</spirit:displayName>
1371       <spirit:description>Width of S_AXI address bus</spirit:description>
1372       <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S_AXI_INTR_ADDR_WIDTH" spirit:order="4" spirit:rangeType="long">5</spirit:value>
1373       <spirit:vendorExtensions>
1374         <xilinx:parameterInfo>
1375           <xilinx:enablement>
1376             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S_AXI_INTR_ADDR_WIDTH">false</xilinx:isEnabled>
1377           </xilinx:enablement>
1378         </xilinx:parameterInfo>
1379       </spirit:vendorExtensions>
1380     </spirit:parameter>
1381     <spirit:parameter>
1382       <spirit:name>C_NUM_OF_INTR</spirit:name>
1383       <spirit:displayName>C NUM OF INTR</spirit:displayName>
1384       <spirit:description>Number of Interrupts</spirit:description>
1385       <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_NUM_OF_INTR" spirit:order="5" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">1</spirit:value>
1386     </spirit:parameter>
1387     <spirit:parameter>
1388       <spirit:name>C_INTR_SENSITIVITY</spirit:name>
1389       <spirit:displayName>C INTR SENSITIVITY</spirit:displayName>
1390       <spirit:description>Each bit corresponds to Sensitivity of interrupt :  0 - EDGE, 1 - LEVEL</spirit:description>
1391       <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.C_INTR_SENSITIVITY" spirit:order="6" spirit:bitStringLength="32">0xFFFFFFFF</spirit:value>
1392     </spirit:parameter>
1393     <spirit:parameter>
1394       <spirit:name>C_INTR_ACTIVE_STATE</spirit:name>
1395       <spirit:displayName>C INTR ACTIVE STATE</spirit:displayName>
1396       <spirit:description>Each bit corresponds to Sub-type of INTR: [0 - FALLING_EDGE, 1 - RISING_EDGE : if C_INTR_SENSITIVITY is EDGE(0)] and [ 0 - LEVEL_LOW, 1 - LEVEL_LOW : if C_INTR_SENSITIVITY is LEVEL(1) ]</spirit:description>
1397       <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.C_INTR_ACTIVE_STATE" spirit:order="7" spirit:bitStringLength="32">0xFFFFFFFF</spirit:value>
1398     </spirit:parameter>
1399     <spirit:parameter>
1400       <spirit:name>C_IRQ_SENSITIVITY</spirit:name>
1401       <spirit:displayName>C IRQ SENSITIVITY</spirit:displayName>
1402       <spirit:description>Sensitivity of IRQ: 0 - EDGE, 1 - LEVEL</spirit:description>
1403       <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_IRQ_SENSITIVITY" spirit:order="8" spirit:minimum="0" spirit:maximum="1" spirit:rangeType="long">1</spirit:value>
1404     </spirit:parameter>
1405     <spirit:parameter>
1406       <spirit:name>C_IRQ_ACTIVE_STATE</spirit:name>
1407       <spirit:displayName>C IRQ ACTIVE STATE</spirit:displayName>
1408       <spirit:description>Sub-type of IRQ: [0 - FALLING_EDGE, 1 - RISING_EDGE : if C_IRQ_SENSITIVITY is EDGE(0)] and [ 0 - LEVEL_LOW, 1 - LEVEL_LOW : if C_IRQ_SENSITIVITY is LEVEL(1) ]</spirit:description>
1409       <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_IRQ_ACTIVE_STATE" spirit:order="9" spirit:minimum="0" spirit:maximum="1" spirit:rangeType="long">1</spirit:value>
1410     </spirit:parameter>
1411     <spirit:parameter>
1412       <spirit:name>C_S_AXI_INTR_BASEADDR</spirit:name>
1413       <spirit:displayName>C S AXI INTR BASEADDR</spirit:displayName>
1414       <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S_AXI_INTR_BASEADDR" spirit:order="10" spirit:bitStringLength="32">0xFFFFFFFF</spirit:value>
1415       <spirit:vendorExtensions>
1416         <xilinx:parameterInfo>
1417           <xilinx:enablement>
1418             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S_AXI_INTR_BASEADDR">false</xilinx:isEnabled>
1419           </xilinx:enablement>
1420         </xilinx:parameterInfo>
1421       </spirit:vendorExtensions>
1422     </spirit:parameter>
1423     <spirit:parameter>
1424       <spirit:name>C_S_AXI_INTR_HIGHADDR</spirit:name>
1425       <spirit:displayName>C S AXI INTR HIGHADDR</spirit:displayName>
1426       <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S_AXI_INTR_HIGHADDR" spirit:order="11" spirit:bitStringLength="32">0x00000000</spirit:value>
1427       <spirit:vendorExtensions>
1428         <xilinx:parameterInfo>
1429           <xilinx:enablement>
1430             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S_AXI_INTR_HIGHADDR">false</xilinx:isEnabled>
1431           </xilinx:enablement>
1432         </xilinx:parameterInfo>
1433       </spirit:vendorExtensions>
1434     </spirit:parameter>
1435     <spirit:parameter>
1436       <spirit:name>C_S00_AXI_DATA_WIDTH</spirit:name>
1437       <spirit:displayName>C S00 AXI DATA WIDTH</spirit:displayName>
1438       <spirit:description>Width of S_AXI data bus</spirit:description>
1439       <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_DATA_WIDTH" spirit:choiceRef="choice_list_ea018de4" spirit:order="12">32</spirit:value>
1440       <spirit:vendorExtensions>
1441         <xilinx:parameterInfo>
1442           <xilinx:enablement>
1443             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S00_AXI_DATA_WIDTH">false</xilinx:isEnabled>
1444           </xilinx:enablement>
1445         </xilinx:parameterInfo>
1446       </spirit:vendorExtensions>
1447     </spirit:parameter>
1448     <spirit:parameter>
1449       <spirit:name>C_S00_AXI_ADDR_WIDTH</spirit:name>
1450       <spirit:displayName>C S00 AXI ADDR WIDTH</spirit:displayName>
1451       <spirit:description>Width of S_AXI address bus</spirit:description>
1452       <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_ADDR_WIDTH" spirit:order="13" spirit:rangeType="long">10</spirit:value>
1453       <spirit:vendorExtensions>
1454         <xilinx:parameterInfo>
1455           <xilinx:enablement>
1456             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S00_AXI_ADDR_WIDTH">false</xilinx:isEnabled>
1457           </xilinx:enablement>
1458         </xilinx:parameterInfo>
1459       </spirit:vendorExtensions>
1460     </spirit:parameter>
1461     <spirit:parameter>
1462       <spirit:name>C_S00_AXI_BASEADDR</spirit:name>
1463       <spirit:displayName>C S00 AXI BASEADDR</spirit:displayName>
1464       <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_BASEADDR" spirit:order="14" spirit:bitStringLength="32">0xFFFFFFFF</spirit:value>
1465       <spirit:vendorExtensions>
1466         <xilinx:parameterInfo>
1467           <xilinx:enablement>
1468             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S00_AXI_BASEADDR">false</xilinx:isEnabled>
1469           </xilinx:enablement>
1470         </xilinx:parameterInfo>
1471       </spirit:vendorExtensions>
1472     </spirit:parameter>
1473     <spirit:parameter>
1474       <spirit:name>C_S00_AXI_HIGHADDR</spirit:name>
1475       <spirit:displayName>C S00 AXI HIGHADDR</spirit:displayName>
1476       <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_HIGHADDR" spirit:order="15" spirit:bitStringLength="32">0x00000000</spirit:value>
1477       <spirit:vendorExtensions>
1478         <xilinx:parameterInfo>
1479           <xilinx:enablement>
1480             <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.C_S00_AXI_HIGHADDR">false</xilinx:isEnabled>
1481           </xilinx:enablement>
1482         </xilinx:parameterInfo>
1483       </spirit:vendorExtensions>
1484     </spirit:parameter>
1485     <spirit:parameter>
1486       <spirit:name>Component_Name</spirit:name>
1487       <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">sja1000_v1_0</spirit:value>
1488     </spirit:parameter>
1489   </spirit:parameters>
1490   <spirit:vendorExtensions>
1491     <xilinx:coreExtensions>
1492       <xilinx:supportedFamilies>
1493         <xilinx:family xilinx:lifeCycle="Pre-Production">zynq</xilinx:family>
1494       </xilinx:supportedFamilies>
1495       <xilinx:taxonomies>
1496         <xilinx:taxonomy>AXI_Peripheral</xilinx:taxonomy>
1497       </xilinx:taxonomies>
1498       <xilinx:displayName>sja1000_v1.0</xilinx:displayName>
1499       <xilinx:coreRevision>1</xilinx:coreRevision>
1500       <xilinx:coreCreationDateTime>2016-05-08T23:03:05Z</xilinx:coreCreationDateTime>
1501     </xilinx:coreExtensions>
1502     <xilinx:packagingInfo>
1503       <xilinx:xilinxVersion>2015.4</xilinx:xilinxVersion>
1504     </xilinx:packagingInfo>
1505   </spirit:vendorExtensions>
1506 </spirit:component>