]> rtime.felk.cvut.cz Git - fpga/zynq/canbench-sw.git/blob - system/ip/can_merge/component.xml
added system and petalinux configuration, scripts, makefiles
[fpga/zynq/canbench-sw.git] / system / ip / can_merge / component.xml
1 <?xml version="1.0" encoding="UTF-8"?>
2 <spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
3   <spirit:vendor>user.org</spirit:vendor>
4   <spirit:library>user</spirit:library>
5   <spirit:name>can_merge</spirit:name>
6   <spirit:version>1.0</spirit:version>
7   <spirit:model>
8     <spirit:views>
9       <spirit:view>
10         <spirit:name>xilinx_anylanguagesynthesis</spirit:name>
11         <spirit:displayName>Synthesis</spirit:displayName>
12         <spirit:envIdentifier>:vivado.xilinx.com:synthesis</spirit:envIdentifier>
13         <spirit:language>VHDL</spirit:language>
14         <spirit:modelName>can_merge</spirit:modelName>
15         <spirit:fileSetRef>
16           <spirit:localName>xilinx_anylanguagesynthesis_view_fileset</spirit:localName>
17         </spirit:fileSetRef>
18         <spirit:parameters>
19           <spirit:parameter>
20             <spirit:name>viewChecksum</spirit:name>
21             <spirit:value>5039bc21</spirit:value>
22           </spirit:parameter>
23         </spirit:parameters>
24       </spirit:view>
25       <spirit:view>
26         <spirit:name>xilinx_anylanguagebehavioralsimulation</spirit:name>
27         <spirit:displayName>Simulation</spirit:displayName>
28         <spirit:envIdentifier>:vivado.xilinx.com:simulation</spirit:envIdentifier>
29         <spirit:language>VHDL</spirit:language>
30         <spirit:modelName>can_merge</spirit:modelName>
31         <spirit:fileSetRef>
32           <spirit:localName>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:localName>
33         </spirit:fileSetRef>
34         <spirit:parameters>
35           <spirit:parameter>
36             <spirit:name>viewChecksum</spirit:name>
37             <spirit:value>5039bc21</spirit:value>
38           </spirit:parameter>
39         </spirit:parameters>
40       </spirit:view>
41       <spirit:view>
42         <spirit:name>xilinx_xpgui</spirit:name>
43         <spirit:displayName>UI Layout</spirit:displayName>
44         <spirit:envIdentifier>:vivado.xilinx.com:xgui.ui</spirit:envIdentifier>
45         <spirit:fileSetRef>
46           <spirit:localName>xilinx_xpgui_view_fileset</spirit:localName>
47         </spirit:fileSetRef>
48         <spirit:parameters>
49           <spirit:parameter>
50             <spirit:name>viewChecksum</spirit:name>
51             <spirit:value>f92e9879</spirit:value>
52           </spirit:parameter>
53         </spirit:parameters>
54       </spirit:view>
55     </spirit:views>
56     <spirit:ports>
57       <spirit:port>
58         <spirit:name>can_rx</spirit:name>
59         <spirit:wire>
60           <spirit:direction>out</spirit:direction>
61           <spirit:wireTypeDefs>
62             <spirit:wireTypeDef>
63               <spirit:typeName>STD_LOGIC</spirit:typeName>
64               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
65               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
66             </spirit:wireTypeDef>
67           </spirit:wireTypeDefs>
68         </spirit:wire>
69       </spirit:port>
70       <spirit:port>
71         <spirit:name>can_tx1</spirit:name>
72         <spirit:wire>
73           <spirit:direction>in</spirit:direction>
74           <spirit:wireTypeDefs>
75             <spirit:wireTypeDef>
76               <spirit:typeName>STD_LOGIC</spirit:typeName>
77               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
78               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
79             </spirit:wireTypeDef>
80           </spirit:wireTypeDefs>
81           <spirit:driver>
82             <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x1</spirit:defaultValue>
83           </spirit:driver>
84         </spirit:wire>
85       </spirit:port>
86       <spirit:port>
87         <spirit:name>can_tx2</spirit:name>
88         <spirit:wire>
89           <spirit:direction>in</spirit:direction>
90           <spirit:wireTypeDefs>
91             <spirit:wireTypeDef>
92               <spirit:typeName>STD_LOGIC</spirit:typeName>
93               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
94               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
95             </spirit:wireTypeDef>
96           </spirit:wireTypeDefs>
97           <spirit:driver>
98             <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x1</spirit:defaultValue>
99           </spirit:driver>
100         </spirit:wire>
101       </spirit:port>
102       <spirit:port>
103         <spirit:name>can_tx3</spirit:name>
104         <spirit:wire>
105           <spirit:direction>in</spirit:direction>
106           <spirit:wireTypeDefs>
107             <spirit:wireTypeDef>
108               <spirit:typeName>STD_LOGIC</spirit:typeName>
109               <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
110               <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
111             </spirit:wireTypeDef>
112           </spirit:wireTypeDefs>
113           <spirit:driver>
114             <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x1</spirit:defaultValue>
115           </spirit:driver>
116         </spirit:wire>
117       </spirit:port>
118     </spirit:ports>
119   </spirit:model>
120   <spirit:fileSets>
121     <spirit:fileSet>
122       <spirit:name>xilinx_anylanguagesynthesis_view_fileset</spirit:name>
123       <spirit:file>
124         <spirit:name>can_merge.vhd</spirit:name>
125         <spirit:fileType>vhdlSource</spirit:fileType>
126         <spirit:userFileType>CHECKSUM_5039bc21</spirit:userFileType>
127       </spirit:file>
128     </spirit:fileSet>
129     <spirit:fileSet>
130       <spirit:name>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:name>
131       <spirit:file>
132         <spirit:name>can_merge.vhd</spirit:name>
133         <spirit:fileType>vhdlSource</spirit:fileType>
134         <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
135       </spirit:file>
136     </spirit:fileSet>
137     <spirit:fileSet>
138       <spirit:name>xilinx_xpgui_view_fileset</spirit:name>
139       <spirit:file>
140         <spirit:name>xgui/can_merge_v1_0.tcl</spirit:name>
141         <spirit:fileType>tclSource</spirit:fileType>
142         <spirit:userFileType>CHECKSUM_f92e9879</spirit:userFileType>
143         <spirit:userFileType>XGUI_VERSION_2</spirit:userFileType>
144       </spirit:file>
145     </spirit:fileSet>
146   </spirit:fileSets>
147   <spirit:description>can_merge_v1_0</spirit:description>
148   <spirit:parameters>
149     <spirit:parameter>
150       <spirit:name>Component_Name</spirit:name>
151       <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">can_merge_v1_0</spirit:value>
152     </spirit:parameter>
153   </spirit:parameters>
154   <spirit:vendorExtensions>
155     <xilinx:coreExtensions>
156       <xilinx:supportedFamilies>
157         <xilinx:family xilinx:lifeCycle="Production">zynq</xilinx:family>
158       </xilinx:supportedFamilies>
159       <xilinx:taxonomies>
160         <xilinx:taxonomy>/UserIP</xilinx:taxonomy>
161       </xilinx:taxonomies>
162       <xilinx:displayName>can_merge_v1_0</xilinx:displayName>
163       <xilinx:coreRevision>2</xilinx:coreRevision>
164       <xilinx:coreCreationDateTime>2016-03-24T16:51:44Z</xilinx:coreCreationDateTime>
165       <xilinx:tags>
166         <xilinx:tag xilinx:name="nopcore"/>
167         <xilinx:tag xilinx:name="user.org:user:can_merge:1.0_ARCHIVE_LOCATION">/home/martin/projects/cvut/bakalarka/canbench-sw/system/ip/can_merge</xilinx:tag>
168       </xilinx:tags>
169     </xilinx:coreExtensions>
170     <xilinx:packagingInfo>
171       <xilinx:xilinxVersion>2015.4</xilinx:xilinxVersion>
172       <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="745165ca"/>
173       <xilinx:checksum xilinx:scope="ports" xilinx:value="61f5754b"/>
174       <xilinx:checksum xilinx:scope="parameters" xilinx:value="ab5a219e"/>
175     </xilinx:packagingInfo>
176   </spirit:vendorExtensions>
177 </spirit:component>