- signal qcnth_latch : std_logic_vector (15 downto 0) := (others => '0');
-
- signal qcount_prev : std_logic_vector (31 downto 0) := (others => '0');
-
+ -- Latch of high work of qcounter component
+ signal qcnth_latch : std_logic_vector (15 downto 0);
+
+ signal qcount_out : std_logic_vector (31 downto 0);
+ -- AB error of qcounter component (lead in peripheral irq)
+ signal ab_error : std_logic;
+
+
+ component qcounter is
+ port (
+ clock : in std_logic;
+ reset : in std_logic;
+ a0, b0 : in std_logic;
+ qcount : out std_logic_vector (31 downto 0);
+ a_rise : out std_logic;
+ a_fall : out std_logic;
+ b_rise : out std_logic;
+ b_fall : out std_logic;
+ ab_event : out std_logic;
+ ab_error : out std_logic);
+ end component qcounter;
+