]> rtime.felk.cvut.cz Git - fpga/uart.git/history - tb
Resets changed from asynchronous to synchronous.
[fpga/uart.git] / tb /
2011-02-04 Vladimir BurianReceiving capability added to the top component.
2011-02-04 Vladimir BurianBaud generator ClockEnable added.
2011-02-04 Vladimir BurianReceiver control FSM prototype.
2011-02-04 Vladimir BurianFirst prototype of receiver shift register.
2011-01-22 Vladimir BurianFirst working prototype of HW UART - TX part.