]> rtime.felk.cvut.cz Git - fpga/uart.git/history - fifo.vhd
Resets changed from asynchronous to synchronous.
[fpga/uart.git] / fifo.vhd
2011-05-18 Vladimir BurianResets changed from asynchronous to synchronous. master
2011-05-18 Vladimir BurianEarly initialization of all relevant signals.
2011-01-28 Vladimir BurianSome comments added.
2011-01-22 Vladimir BurianClear of FIFO overflow flag capability added.
2011-01-22 Vladimir BurianFirst working prototype of HW UART - TX part.