]> rtime.felk.cvut.cz Git - fpga/spartan2/qcounter.git/blobdiff - top_counter.prj
Complete design added.
[fpga/spartan2/qcounter.git] / top_counter.prj
diff --git a/top_counter.prj b/top_counter.prj
new file mode 100644 (file)
index 0000000..8687177
--- /dev/null
@@ -0,0 +1,9 @@
+vhdl work quadcount/dff.vhdl
+vhdl work quadcount/qcounter.vhdl
+
+vhdl work lcd_mux.vhd
+vhdl work hex2lcd.vhd
+vhdl work div_20.vhd
+
+vhdl work top_counter.vhd
+