X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/spartan2/qcounter.git/blobdiff_plain/872ec78db667afc871fa7b3bc48486cc3a91ef46..9fa62b2515657dd614104a621d244b63642a6585:/top_counter.prj diff --git a/top_counter.prj b/top_counter.prj new file mode 100644 index 0000000..8687177 --- /dev/null +++ b/top_counter.prj @@ -0,0 +1,9 @@ +vhdl work quadcount/dff.vhdl +vhdl work quadcount/qcounter.vhdl + +vhdl work lcd_mux.vhd +vhdl work hex2lcd.vhd +vhdl work div_20.vhd + +vhdl work top_counter.vhd +