]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/blobdiff - pmsm-control/mcpwm.vhdl
Setters made inline.
[fpga/rpi-motor-control.git] / pmsm-control / mcpwm.vhdl
index 53604e7849c2211af2f2f4c15154fbf6ff6b7530..eb83997a79868531ff873236f0f2b2b6498ee751 100644 (file)
@@ -3,11 +3,11 @@
 --  PWM controller with failsafe input
 --
 -- part of LXPWR motion control board (c) PiKRON Ltd
--- idea by Pavel Pisa PiKRON Ltd <pisa@cmp.felk.cvut.cz>
--- code by Marek Peca <mp@duch.cz>
+-- idea by Pavel Pisa PiKRON Ltd <ppisa@pikron.com>
+-- code by Marek Peca <hefaistos@gmail.com>
 -- 01/2013
 --
--- license: GNU GPLv3
+-- license: GNU LGPL and GPLv3+
 --
 
 library ieee;
@@ -23,7 +23,7 @@ entity mcpwm is
     clock: in std_logic;
     sync: in std_logic; --flag that counter "restarts-overflows"
     data_valid:in std_logic; --indicates data is consistent
-    failsafe: in std_logic; --failmode turn of both transistors
+    failsafe: in std_logic; --failmode turn off both transistors
     en_p, en_n: in std_logic; --enable positive & enable inverse
     match: in std_logic_vector (pwm_width-1 downto 0); --posion of counter when we swap output logic
     count: in std_logic_vector (pwm_width-1 downto 0); --do we use external counter?