]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/blobdiff - pmsm-control/rpi_mc_1.pdc
pridan podadresar pmsm-control
[fpga/rpi-motor-control.git] / pmsm-control / rpi_mc_1.pdc
diff --git a/pmsm-control/rpi_mc_1.pdc b/pmsm-control/rpi_mc_1.pdc
new file mode 100644 (file)
index 0000000..42938a2
--- /dev/null
@@ -0,0 +1,152 @@
+#
+# rpi_mc_1 AGL125
+#
+# Pavel Pisa
+# Copyright PiKRON.com 2014
+#
+
+#
+# IO banks setting
+#
+#set_iobank Bank3 -vcci 3.30 -fixed yes
+#set_iobank Bank2 -vcci 3.30 -fixed yes
+set_iobank Bank1 -vcci 3.30 -fixed yes
+set_iobank Bank0 -vcci 3.30 -fixed yes
+
+#
+# I/O constraints
+#
+
+# RPi B+ P1 connector pins
+# type LVTTL or LVCMOS33
+
+# SDA
+set_io {gpio2} -iostd LVCMOS33 -pinname 20 -fixed yes
+# SCL
+set_io {gpio3} -iostd LVCMOS33 -pinname 19 -fixed yes
+# CLK
+set_io {gpio4} -iostd LVCMOS33 -pinname 13 -fixed yes
+# Tx
+set_io {gpio14} -iostd LVCMOS33 -pinname 8 -fixed yes
+# Rx
+set_io {gpio15} -iostd LVCMOS33 -pinname 7 -fixed yes
+# RTS
+set_io {gpio17} -iostd LVCMOS33 -pinname 5 -fixed yes
+# PWM0/PCMCLK
+set_io {gpio18} -iostd LVCMOS33 -pinname 4 -fixed yes
+# SD1DAT3
+set_io {gpio27} -iostd LVCMOS33 -pinname 3 -fixed yes
+# SD1CLK
+set_io {gpio22} -iostd LVCMOS33 -pinname 2 -fixed yes
+# SD1CMD
+set_io {gpio23} -iostd LVCMOS33 -pinname 98 -fixed yes
+# SD1DAT0
+set_io {gpio24} -iostd LVCMOS33 -pinname 97 -fixed yes
+# SPI0MOSI
+set_io {gpio10} -iostd LVCMOS33 -pinname 96 -fixed yes
+# SPI0MISO
+set_io {gpio9} -iostd LVCMOS33 -pinname 95 -fixed yes
+# SD1DAT1
+set_io {gpio25} -iostd LVCMOS33 -pinname 94 -fixed yes
+# SPI0SCLK
+set_io {gpio11} -iostd LVCMOS33 -pinname 93 -fixed yes
+# SPI0CE0
+set_io {gpio8} -iostd LVCMOS33 -pinname 92 -fixed yes
+# SPI0CE1
+set_io {gpio7} -iostd LVCMOS33 -pinname 91 -fixed yes
+# GPCLK1
+set_io {gpio5} -iostd LVCMOS33 -pinname 84 -fixed yes
+# GPCLK2
+set_io {gpio6} -iostd LVCMOS33 -pinname 83 -fixed yes
+# PWM0
+set_io {gpio12} -iostd LVCMOS33 -pinname 82 -fixed yes
+# PWM1
+set_io {gpio13} -iostd LVCMOS33 -pinname 81 -fixed yes
+# PWM1/SPI1MISO/PCMFS
+set_io {gpio19} -iostd LVCMOS33 -pinname 80 -fixed yes
+# SPI1CE2
+set_io {gpio16} -iostd LVCMOS33 -pinname 79 -fixed yes
+# SD1DAT2
+set_io {gpio26} -iostd LVCMOS33 -pinname 78 -fixed yes
+# SPI1MOSI/PCMDIN/GPCLK0
+set_io {gpio20} -iostd LVCMOS33 -pinname 77 -fixed yes
+# SPI1SCLK/PCMDOUT/GPCLK1
+set_io {gpio21} -iostd LVCMOS33 -pinname 76 -fixed yes
+
+#
+# PWM
+#
+# Each PWM signal has cooresponding shutdown
+
+set_io {pwm[1]}  -iostd LVCMOS33 -pinname 22 -fixed yes
+set_io {shdn[1]} -iostd LVCMOS33 -pinname 21 -fixed yes
+set_io {pwm[2]}  -iostd LVCMOS33 -pinname 26 -fixed yes
+set_io {shdn[2]} -iostd LVCMOS33 -pinname 23 -fixed yes
+set_io {pwm[3]}  -iostd LVCMOS33 -pinname 28 -fixed yes
+set_io {shdn[3]} -iostd LVCMOS33 -pinname 27 -fixed yes
+
+# Fault/power stage status
+
+set_io {stat[1]} -iostd LVCMOS33 -pinname 29 -fixed yes
+set_io {stat[2]} -iostd LVCMOS33 -pinname 30 -fixed yes
+set_io {stat[3]} -iostd LVCMOS33 -pinname 31 -fixed yes
+
+#
+# HAL inputs
+#
+set_io {hal_in[1]} -iostd LVCMOS33 -pinname 43 -fixed yes
+set_io {hal_in[2]} -iostd LVCMOS33 -pinname 44 -fixed yes
+set_io {hal_in[3]} -iostd LVCMOS33 -pinname 45 -fixed yes
+
+#
+# IRC inputs
+#
+set_io {irc_a} -iostd LVCMOS33 -pinname 40 -fixed yes
+set_io {irc_b} -iostd LVCMOS33 -pinname 41 -fixed yes
+set_io {irc_i} -iostd LVCMOS33 -pinname 42 -fixed yes
+
+#
+# Power status
+#
+set_io {power_stat} -iostd LVCMOS33 -pinname 32 -fixed yes
+
+#
+# ADC for current
+#
+set_io {adc_miso} -iostd LVCMOS33 -pinname 33 -fixed yes
+set_io {adc_mosi} -iostd LVCMOS33 -pinname 34 -fixed yes
+set_io {adc_sclk} -iostd LVCMOS33 -pinname 35 -fixed yes
+set_io {adc_scs}  -iostd LVCMOS33 -pinname 36 -fixed yes
+
+#
+# Extarnal SPI
+#
+set_io {ext_miso} -iostd LVCMOS33 -pinname 62 -fixed yes
+set_io {ext_mosi} -iostd LVCMOS33 -pinname 61 -fixed yes
+set_io {ext_sclk} -iostd LVCMOS33 -pinname 60 -fixed yes
+set_io {ext_scs0} -iostd LVCMOS33 -pinname 59 -fixed yes
+set_io {ext_scs1} -iostd LVCMOS33 -pinname 58 -fixed yes
+set_io {ext_scs2} -iostd LVCMOS33 -pinname 57 -fixed yes
+
+#
+# RS-485 Transceiver
+#
+set_io {rs485_rxd} -iostd LVCMOS33 -pinname 71 -fixed yes
+set_io {rs485_txd} -iostd LVCMOS33 -pinname 69 -fixed yes
+set_io {rs485_dir} -iostd LVCMOS33 -pinname 70 -fixed yes
+
+#
+# CAN Transceiver
+#
+set_io {can_rx} -iostd LVCMOS33 -pinname 72 -fixed yes
+set_io {can_tx} -iostd LVCMOS33 -pinname 73 -fixed yes
+
+#
+# DIP switch
+#
+set_io {dip_sw[1]} -iostd LVCMOS33 -pinname 65 -fixed yes
+set_io {dip_sw[2]} -iostd LVCMOS33 -pinname 64 -fixed yes
+set_io {dip_sw[3]} -iostd LVCMOS33 -pinname 63 -fixed yes
+
+# Unused terminal to keep design tools silent
+set_io {dummy_unused} -iostd LVCMOS33 -pinname 6 -fixed yes