]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/blobdiff - pmsm-control/rpi_pmsm_control.vhdl
Dff3 filter added to irc inputs.
[fpga/rpi-motor-control.git] / pmsm-control / rpi_pmsm_control.vhdl
index 13ffb97cda27f6aae95c4f46c00f45b6c5100fe6..177029f4b2e35c0ce8ed48a90c55ab6f649afc3f 100644 (file)
@@ -173,6 +173,14 @@ architecture behavioral of rpi_pmsm_control is
        );
        end component;
        
        );
        end component;
        
+       component dff3 is
+       port(
+               clk_i   : in std_logic;
+               d_i     : in std_logic;
+               q_o     : out std_logic
+       );
+       end component;
+       
        
        signal adc_channels: std_logic_vector(71 downto 0);
        signal adc_m_count: std_logic_vector(8 downto 0);
        
        signal adc_channels: std_logic_vector(71 downto 0);
        signal adc_m_count: std_logic_vector(8 downto 0);
@@ -217,6 +225,10 @@ architecture behavioral of rpi_pmsm_control is
        -- irc signals processing
        signal irc_i_prev: std_logic;
        
        -- irc signals processing
        signal irc_i_prev: std_logic;
        
+       --filetered irc signals
+       signal irc_a_dff3: std_logic;
+       signal irc_b_dff3: std_logic;
+       
        --  attribute syn_noprune of gpio2 : signal is true;
        --  attribute syn_preserve of gpio2 : signal is true;
        --  attribute syn_keep of gpio2 : signal is true;
        --  attribute syn_noprune of gpio2 : signal is true;
        --  attribute syn_preserve of gpio2 : signal is true;
        --  attribute syn_keep of gpio2 : signal is true;
@@ -250,8 +262,8 @@ begin
        port map (
                clock => gpio_clk,
                reset => '0',
        port map (
                clock => gpio_clk,
                reset => '0',
-               a0 => irc_a,
-               b0 => irc_b,
+               a0 => irc_a_dff3,
+               b0 => irc_b_dff3,
                qcount => position,
                a_rise => open,
                a_fall => open,
                qcount => position,
                a_rise => open,
                a_fall => open,
@@ -311,6 +323,20 @@ begin
                measur_count => adc_m_count
                
        );
                measur_count => adc_m_count
                
        );
+       
+       dff3_a: dff3
+       port map(       
+               clk_i => gpio_clk,
+               d_i   => irc_a,
+               q_o   => irc_a_dff3 
+       );
+       
+       dff3_b: dff3
+       port map(       
+               clk_i => gpio_clk,
+               d_i   => irc_b,
+               q_o   => irc_b_dff3 
+       );
 
        dummy_unused <= gpio2 and gpio3 and
                gpio5 and gpio6 and
 
        dummy_unused <= gpio2 and gpio3 and
                gpio5 and gpio6 and