+--
+-- * Quadrature Signal Decoder *
+-- Used for IRC sensor interfacing
+--
+-- (c) 2010 Marek Peca <hefaistos@gmail.com>
+--
+-- license: GNU LGPL and GPLv3+
+--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
-use work.dff.all;
entity qcounter is
port (
end process;
--prechod do 3. radu (binarne)
- comb_count: process (a_prev, b_prev, a, b, count) --proc jsou v sensitivity prev stavy?
+ comb_count: process (a_prev, b_prev, a, b, count,count_prev) --proc jsou v sensitivity prev stavy?
begin
if (a_prev = '0') and (b_prev = '1') and (a = '0') and (b = '0') then --posun dopredu
count <= count_prev + 1;