]> rtime.felk.cvut.cz Git - fpga/quadcount.git/blobdiff - tb/tb_qcounter.vhd
Testbench moved into 'tb' directory
[fpga/quadcount.git] / tb / tb_qcounter.vhd
similarity index 100%
rename from tb_qcounter.vhd
rename to tb/tb_qcounter.vhd