]> rtime.felk.cvut.cz Git - fpga/quadcount.git/commitdiff
Testbench moved into 'tb' directory
authorVladimir Burian <buriavl2@fel.cvut.cz>
Thu, 14 Apr 2011 11:57:28 +0000 (13:57 +0200)
committerVladimir Burian <buriavl2@fel.cvut.cz>
Thu, 14 Apr 2011 12:28:24 +0000 (14:28 +0200)
tb/Makefile [moved from Makefile with 85% similarity]
tb/tb_qcounter.vhd [moved from tb_qcounter.vhd with 100% similarity]

similarity index 85%
rename from Makefile
rename to tb/Makefile
index 2d7140795b48ef45c54ead107524d224a2b8d764..18d0314e0e94153a8ddccedf5c9c099bb100a6ac 100644 (file)
--- a/Makefile
@@ -12,5 +12,8 @@ $(VHDL_MAIN): $(VHDL_MAIN).o $(VHDL_SUB)
 %.o: %.vhd
        ghdl -a --ieee=synopsys $<
 
+%.o: ../%.vhd
+       ghdl -a --ieee=synopsys $<
+
 clean:
        rm -Rf *.o qctest
similarity index 100%
rename from tb_qcounter.vhd
rename to tb/tb_qcounter.vhd