]> rtime.felk.cvut.cz Git - fpga/pwm.git/blobdiff - tb/Makefile
Added irc_base.
[fpga/pwm.git] / tb / Makefile
index 96978b463c588de17ad7a6b35c2ac7fe422fc427..bcd8fbd4404bc81f15d13c04fc394c05596e8e26 100644 (file)
@@ -1,6 +1,20 @@
-VHDL_MAIN     = tb_wave_table
+# Be aware of required VHDL_ENTITIES sequence! All entities must be listed in
+# bottom to up order (e.g. the top entity is the last in this list). Otherwise
+# it won't compile.
+
+VHDL_MAIN     = tb_mcc
 VHDL_ENTITIES = counter.o \
-                pwm.o
+                pwm.o \
+                wave_table.o \
+                vector_gen.o \
+                priority_encoder.o \
+                mcc_master.o \
+                sequencer.o \
+                pwm_dump.o \
+                irc_dump.o \
+                irc_base.o \
+                mcc.o
+
 
 STOP_TIME     = 50us
 
@@ -13,7 +27,7 @@ run: $(VHDL_MAIN)
 view: run
        gtkwave $(VHDL_MAIN).vcd $(VHDL_MAIN).sav
 
-$(VHDL_MAIN): $(VHDL_MAIN).o $(VHDL_ENTITIES)
+$(VHDL_MAIN): $(VHDL_ENTITIES) $(VHDL_MAIN).o
        ghdl -e -fexplicit --ieee=synopsys $@
 
 %.o: %.vhd