signal WE_I : std_logic;
signal RST_I : std_logic;
---------------------------------------------------------------------------------
-
- component wave_table is
- generic (
- DAT_W : integer;
- ADR_W : integer;
- INIT_FILE : string);
- port (
- ACK_O : out std_logic;
- ADR_I : in std_logic_vector (ADR_W-1 downto 0);
- CLK_I : in std_logic;
- DAT_I : in std_logic_vector (DAT_W-1 downto 0);
- DAT_O : out std_logic_vector (DAT_W-1 downto 0);
- STB_I : in std_logic;
- WE_I : in std_logic);
- end component wave_table;
-
--------------------------------------------------------------------------------
begin
- uut : wave_table
+ uut : entity work.wave_table
generic map (
DAT_W => DAT_W,
ADR_W => ADR_W,