]> rtime.felk.cvut.cz Git - fpga/pwm.git/blobdiff - irc_dump.vhd
Wave_table impure function.
[fpga/pwm.git] / irc_dump.vhd
index 3317b00d785d06ed7bc5521eaf92c3f42775279f..c48ce4ed0d8447337b9b97c34a8661dabc6b163d 100644 (file)
@@ -33,7 +33,7 @@ architecture behavioral of irc_dump is
 
   constant IRC_ADR : irf_adr_t :=  conv_std_logic_vector(IRC_BASE, IRF_ADR_W);
   
-  signal INNER_ACK : std_logic;
+  signal INNER_ACK : std_logic := '0';
   
 --------------------------------------------------------------------------------
 
@@ -50,11 +50,12 @@ begin
 
   process (CLK_I, RST_I) is
   begin
-    if RST_I = '1' then
-      INNER_ACK <= '0';
-      
-    elsif rising_edge(CLK_I) then
-      INNER_ACK <= STB_I;
+    if rising_edge(CLK_I) then
+      if RST_I = '1' then
+        INNER_ACK <= '0';
+      else
+        INNER_ACK <= STB_I;
+      end if;
     end if;
   end process;