]> rtime.felk.cvut.cz Git - fpga/pwm.git/blobdiff - mcc.vhd
Unused signal removed and minor change.
[fpga/pwm.git] / mcc.vhd
diff --git a/mcc.vhd b/mcc.vhd
index 5513069a9c38935fad0e25290660065a00950097..e2ddc90f1116941de7414c3ba946db7539ab069f 100644 (file)
--- a/mcc.vhd
+++ b/mcc.vhd
@@ -8,8 +8,7 @@ use ieee.std_logic_unsigned.all;
 entity mcc is
   generic (
     LUT_ADR_W : integer := 10;
-    LUT_DAT_W : integer := 9;
-    IRF_ADR_W : integer := 5);
+    LUT_DAT_W : integer := 9);
   port (
     -- Primary slave intefrace
     ACK_O      : out std_logic;
@@ -29,7 +28,7 @@ entity mcc is
     PWM3_STB_O : out std_logic;
     -- Shared memory interface
     IRF_ACK_I  : in  std_logic;
-    IRF_ADR_O  : out std_logic_vector (IRF_ADR_W-1 downto 0);
+    IRF_ADR_O  : out std_logic_vector (4 downto 0);
     IRF_DAT_I  : in  std_logic_vector (15 downto 0);
     IRF_DAT_O  : out std_logic_vector (15 downto 0);
     IRF_STB_O  : out std_logic;
@@ -40,6 +39,8 @@ end entity mcc;
 
 architecture behavioral of mcc is
 
+  constant IRF_ADR_W : integer := 5;
+
   constant MCC_W : integer := 6;
   constant MUX_W : integer := 3;
 
@@ -75,7 +76,6 @@ architecture behavioral of mcc is
   signal SCALE_SL_STB_I     : std_logic;
   
   signal PWM_IRF_ADR_O    : std_logic_vector (IRF_ADR_W-1 downto 0);
-  signal PWM_IRF_DAT_O    : std_logic_vector (15 downto 0);
   signal PWM_IRF_STB_O    : std_logic;
   --signal PWM_DAT_O        : std_logic_vector (LUT_DAT_W-1 downto 0);
   signal PWM_STB_O        : std_logic;
@@ -115,15 +115,14 @@ begin
                VECTOR_IRF_ADR_O when MCC_MUX_CODE = 2 else
                SCALE_IRF_ADR_O  when MCC_MUX_CODE = 3 else
                PWM_IRF_ADR_O    when MCC_MUX_CODE = 5 else
-               (others => '-');
+               (others => 'X');
 
   IRF_DAT_O <= MASTER_IRF_DAT_O when MCC_MUX_EN = '0' else
                IRC_IRF_DAT_O    when MCC_MUX_CODE = 0 else
                BASE_IRF_DAT_O   when MCC_MUX_CODE = 1 else
                VECTOR_IRF_DAT_O when MCC_MUX_CODE = 2 else
                SCALE_IRF_DAT_O  when MCC_MUX_CODE = 3 else
-               PWM_IRF_DAT_O    when MCC_MUX_CODE = 5 else
-               (others => '-');
+               (others => 'X');
 
   IRF_STB_O <= MASTER_IRF_STB_O when MCC_MUX_EN = '0' else
                IRC_IRF_STB_O    when MCC_MUX_CODE = 0 else
@@ -145,6 +144,8 @@ begin
   PWM2_STB_O <= PWM_STB_O when PWM_SL_MUX_CODE = 1 else '0';
   PWM3_STB_O <= PWM_STB_O when PWM_SL_MUX_CODE = 2 else '0';
 
+  MCC_ACK (4) <= '1';
+
   
   mcc_master_1 : entity work.mcc_master
     generic map (