2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
9 --------------------------------------------------------------------------------
11 architecture testbench of tb_pwm is
13 constant period : time := 250 ns;
14 constant offset : time := 0 us;
17 constant PWM_W : integer := 2;
18 constant CNT_MAX : integer := 2**PWM_W - 2;
21 signal clk : std_logic;
22 signal reset : std_logic;
23 signal din : std_logic_vector (PWM_W-1 downto 0);
24 signal we : std_logic;
25 signal pwm_cnt : std_logic_vector (PWM_W-1 downto 0);
26 signal pwm_cyc : std_logic;
27 signal pwm_out : std_logic;
29 --------------------------------------------------------------------------------
45 counter_1 : entity work.counter
74 wait for 1.5 * period;
84 din <= (others => '0');
90 for i in 0 to 2*(2**PWM_W)-1 loop
91 din <= conv_std_logic_vector(i, PWM_W);