]> rtime.felk.cvut.cz Git - fpga/pwm.git/blob - tb/Makefile
96978b463c588de17ad7a6b35c2ac7fe422fc427
[fpga/pwm.git] / tb / Makefile
1 VHDL_MAIN     = tb_wave_table
2 VHDL_ENTITIES = counter.o \
3                 pwm.o
4
5 STOP_TIME     = 50us
6
7
8 all: $(VHDL_MAIN)
9
10 run: $(VHDL_MAIN)
11         ghdl -r $< --stop-time=$(STOP_TIME) --vcd=$<.vcd
12
13 view: run
14         gtkwave $(VHDL_MAIN).vcd $(VHDL_MAIN).sav
15
16 $(VHDL_MAIN): $(VHDL_MAIN).o $(VHDL_ENTITIES)
17         ghdl -e -fexplicit --ieee=synopsys $@
18
19 %.o: %.vhd
20         ghdl -a -fexplicit --ieee=synopsys $<
21
22 %.o: ../%.vhd
23         ghdl -a -fexplicit --ieee=synopsys $<
24
25 clean:
26         rm -Rf *.o *.vcd $(VHDL_MAIN) results.txt work-obj93.cf
27