]> rtime.felk.cvut.cz Git - fpga/plasma.git/blob - vhdl/plasma_S3E.npl
Local copy of Plasma MIPS project.
[fpga/plasma.git] / vhdl / plasma_S3E.npl
1 JDF G
2 // Created by Project Navigator ver 1.0
3 PROJECT jop
4 DESIGN jop
5 DEVFAM spartan3e
6 DEVFAMTIME 0
7 DEVICE xc3s500e
8 DEVICETIME 0
9 DEVPKG fg320
10 DEVPKGTIME 0
11 DEVSPEED -4
12 DEVTOPLEVELMODULETYPE HDL
13 TOPLEVELMODULETYPETIME 0
14 DEVSYNTHESISTOOL XST (VHDL/Verilog)
15 SYNTHESISTOOLTIME 0
16 DEVSIMULATOR Modelsim
17 SIMULATORTIME 0
18 DEVGENERATEDSIMULATIONMODEL VHDL
19 GENERATEDSIMULATIONMODELTIME 0
20 SOURCE mlite_pack.vhd
21 SOURCE plasma_3e.vhd
22 SOURCE ddr_ctrl.vhd
23 SOURCE plasma.vhd
24 SOURCE ram_image.vhd
25 SOURCE uart.vhd
26 SOURCE eth_dma.vhd
27 SOURCE mlite_cpu.vhd
28 SOURCE alu.vhd
29 SOURCE bus_mux.vhd
30 SOURCE control.vhd
31 SOURCE mem_ctrl.vhd
32 SOURCE mult.vhd
33 SOURCE pipeline.vhd
34 SOURCE pc_next.vhd
35 SOURCE reg_bank.vhd
36 SOURCE shifter.vhd
37 SOURCE cache.vhd
38 DEPASSOC spartan3e spartan3e.ucf