]> rtime.felk.cvut.cz Git - fpga/openmsp430.git/commit
Add custom generics RAM.
authorVladimir Burian <buriavl2@fel.cvut.cz>
Thu, 10 Mar 2011 12:29:28 +0000 (13:29 +0100)
committerVladimir Burian <buriavl2@fel.cvut.cz>
Thu, 10 Mar 2011 12:29:28 +0000 (13:29 +0100)
commitdf1ca59d7fb07786067b8b7934109634dfb97cd4
treec5cc9438d0fe5a93b570022443614b79579bb272
parent7a8cb70f0fb2f4a71cfe1780e4221cc4484650bb
Add custom generics RAM.

This generics entity produces array of block RAM primitives with
appropriate address and data decoders. The naming convention of
block RAM primitives is defined and it's simply to write correct
*.bmm file.
memory/ram_generic.vhd [new file with mode: 0644]