]> rtime.felk.cvut.cz Git - fpga/lx-cpu1/lx-rocon.git/commit
LX master: RX CRC error is updated is status register in the same clock as seq. num.
authorPavel Pisa <ppisa@pikron.com>
Sun, 22 Feb 2015 14:35:44 +0000 (15:35 +0100)
committerPavel Pisa <ppisa@pikron.com>
Sun, 22 Feb 2015 14:35:44 +0000 (15:35 +0100)
commit8d2755529f4c01a57c6b275469f16e5d8c7fda5a
tree1b4da17df9b87f79407d821e7fc279a3d79ca325
parent745c58f76ca76904e406b996be72a3b9928a81f9
LX master: RX CRC error is updated is status register in the same clock as seq. num.

If update is not at the same time then false RX done can be detected
by firmware which does not mask compare result sequence
number bits only.

Signed-off-by: Pavel Pisa <ppisa@pikron.com>
hw/bus_lxmaster.vhd