clock_i : in std_logic;
miso_i : in std_logic;
sync_i : in std_logic;
+ -- Receive done pulse
+ rx_done_o : out std_logic;
-- Register
register_i : in std_logic;
register_o : out std_logic_vector(1 downto 0);
data_o : out std_logic_vector(15 downto 0);
--
bls_i : in std_logic_vector(1 downto 0);
+ --
+ rx_done_o : out std_logic;
-- Signals for LX Master
clock_i : in std_logic;
miso_i : in std_logic;