]> rtime.felk.cvut.cz Git - fpga/zynq/canbench-sw.git/blob - .gitignore
scripts: include script for applying new FPGA design at runtime.
[fpga/zynq/canbench-sw.git] / .gitignore
1 .Xil
2 vivado*.log
3 vivado*.jou