]> rtime.felk.cvut.cz Git - fpga/zynq/canbench-sw.git/blob - system/ip/servo_led_ps2_1.0/xgui/servo_led_ps2_v1_0.tcl
microzed_apo: IP skeleton of servo with alternative direct LEDs.
[fpga/zynq/canbench-sw.git] / system / ip / servo_led_ps2_1.0 / xgui / servo_led_ps2_v1_0.tcl
1 # Definitional proc to organize widgets for parameters.
2 proc init_gui { IPINST } {
3   ipgui::add_param $IPINST -name "Component_Name"
4   #Adding Page
5   set Page_0 [ipgui::add_page $IPINST -name "Page 0"]
6   set C_S00_AXI_DATA_WIDTH [ipgui::add_param $IPINST -name "C_S00_AXI_DATA_WIDTH" -parent ${Page_0} -widget comboBox]
7   set_property tooltip {Width of S_AXI data bus} ${C_S00_AXI_DATA_WIDTH}
8   set C_S00_AXI_ADDR_WIDTH [ipgui::add_param $IPINST -name "C_S00_AXI_ADDR_WIDTH" -parent ${Page_0}]
9   set_property tooltip {Width of S_AXI address bus} ${C_S00_AXI_ADDR_WIDTH}
10   ipgui::add_param $IPINST -name "C_S00_AXI_BASEADDR" -parent ${Page_0}
11   ipgui::add_param $IPINST -name "C_S00_AXI_HIGHADDR" -parent ${Page_0}
12
13
14 }
15
16 proc update_PARAM_VALUE.C_S00_AXI_DATA_WIDTH { PARAM_VALUE.C_S00_AXI_DATA_WIDTH } {
17         # Procedure called to update C_S00_AXI_DATA_WIDTH when any of the dependent parameters in the arguments change
18 }
19
20 proc validate_PARAM_VALUE.C_S00_AXI_DATA_WIDTH { PARAM_VALUE.C_S00_AXI_DATA_WIDTH } {
21         # Procedure called to validate C_S00_AXI_DATA_WIDTH
22         return true
23 }
24
25 proc update_PARAM_VALUE.C_S00_AXI_ADDR_WIDTH { PARAM_VALUE.C_S00_AXI_ADDR_WIDTH } {
26         # Procedure called to update C_S00_AXI_ADDR_WIDTH when any of the dependent parameters in the arguments change
27 }
28
29 proc validate_PARAM_VALUE.C_S00_AXI_ADDR_WIDTH { PARAM_VALUE.C_S00_AXI_ADDR_WIDTH } {
30         # Procedure called to validate C_S00_AXI_ADDR_WIDTH
31         return true
32 }
33
34 proc update_PARAM_VALUE.C_S00_AXI_BASEADDR { PARAM_VALUE.C_S00_AXI_BASEADDR } {
35         # Procedure called to update C_S00_AXI_BASEADDR when any of the dependent parameters in the arguments change
36 }
37
38 proc validate_PARAM_VALUE.C_S00_AXI_BASEADDR { PARAM_VALUE.C_S00_AXI_BASEADDR } {
39         # Procedure called to validate C_S00_AXI_BASEADDR
40         return true
41 }
42
43 proc update_PARAM_VALUE.C_S00_AXI_HIGHADDR { PARAM_VALUE.C_S00_AXI_HIGHADDR } {
44         # Procedure called to update C_S00_AXI_HIGHADDR when any of the dependent parameters in the arguments change
45 }
46
47 proc validate_PARAM_VALUE.C_S00_AXI_HIGHADDR { PARAM_VALUE.C_S00_AXI_HIGHADDR } {
48         # Procedure called to validate C_S00_AXI_HIGHADDR
49         return true
50 }
51
52
53 proc update_MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH { MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH PARAM_VALUE.C_S00_AXI_DATA_WIDTH } {
54         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
55         set_property value [get_property value ${PARAM_VALUE.C_S00_AXI_DATA_WIDTH}] ${MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH}
56 }
57
58 proc update_MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH { MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH PARAM_VALUE.C_S00_AXI_ADDR_WIDTH } {
59         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
60         set_property value [get_property value ${PARAM_VALUE.C_S00_AXI_ADDR_WIDTH}] ${MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH}
61 }
62