]> rtime.felk.cvut.cz Git - fpga/zynq/canbench-sw.git/blob - system/ip/sja1000_1.0/xgui/sja1000_v1_0.tcl
255307ca0b8d955f7eef96c03c8b954ba5e1be76
[fpga/zynq/canbench-sw.git] / system / ip / sja1000_1.0 / xgui / sja1000_v1_0.tcl
1 # Definitional proc to organize widgets for parameters.
2 proc init_gui { IPINST } {
3   ipgui::add_param $IPINST -name "Component_Name"
4   #Adding Page
5   set Page_0 [ipgui::add_page $IPINST -name "Page 0"]
6   set C_S_AXI_INTR_DATA_WIDTH [ipgui::add_param $IPINST -name "C_S_AXI_INTR_DATA_WIDTH" -parent ${Page_0} -widget comboBox]
7   set_property tooltip {Width of S_AXI data bus} ${C_S_AXI_INTR_DATA_WIDTH}
8   set C_S_AXI_INTR_ADDR_WIDTH [ipgui::add_param $IPINST -name "C_S_AXI_INTR_ADDR_WIDTH" -parent ${Page_0}]
9   set_property tooltip {Width of S_AXI address bus} ${C_S_AXI_INTR_ADDR_WIDTH}
10   set C_NUM_OF_INTR [ipgui::add_param $IPINST -name "C_NUM_OF_INTR" -parent ${Page_0}]
11   set_property tooltip {Number of Interrupts} ${C_NUM_OF_INTR}
12   set C_INTR_SENSITIVITY [ipgui::add_param $IPINST -name "C_INTR_SENSITIVITY" -parent ${Page_0}]
13   set_property tooltip {Each bit corresponds to Sensitivity of interrupt :  0 - EDGE, 1 - LEVEL} ${C_INTR_SENSITIVITY}
14   set C_INTR_ACTIVE_STATE [ipgui::add_param $IPINST -name "C_INTR_ACTIVE_STATE" -parent ${Page_0}]
15   set_property tooltip {Each bit corresponds to Sub-type of INTR: [0 - FALLING_EDGE, 1 - RISING_EDGE : if C_INTR_SENSITIVITY is EDGE(0)] and [ 0 - LEVEL_LOW, 1 - LEVEL_LOW : if C_INTR_SENSITIVITY is LEVEL(1) ]} ${C_INTR_ACTIVE_STATE}
16   set C_IRQ_SENSITIVITY [ipgui::add_param $IPINST -name "C_IRQ_SENSITIVITY" -parent ${Page_0}]
17   set_property tooltip {Sensitivity of IRQ: 0 - EDGE, 1 - LEVEL} ${C_IRQ_SENSITIVITY}
18   set C_IRQ_ACTIVE_STATE [ipgui::add_param $IPINST -name "C_IRQ_ACTIVE_STATE" -parent ${Page_0}]
19   set_property tooltip {Sub-type of IRQ: [0 - FALLING_EDGE, 1 - RISING_EDGE : if C_IRQ_SENSITIVITY is EDGE(0)] and [ 0 - LEVEL_LOW, 1 - LEVEL_LOW : if C_IRQ_SENSITIVITY is LEVEL(1) ]} ${C_IRQ_ACTIVE_STATE}
20   ipgui::add_param $IPINST -name "C_S_AXI_INTR_BASEADDR" -parent ${Page_0}
21   ipgui::add_param $IPINST -name "C_S_AXI_INTR_HIGHADDR" -parent ${Page_0}
22   set C_S00_AXI_DATA_WIDTH [ipgui::add_param $IPINST -name "C_S00_AXI_DATA_WIDTH" -parent ${Page_0} -widget comboBox]
23   set_property tooltip {Width of S_AXI data bus} ${C_S00_AXI_DATA_WIDTH}
24   set C_S00_AXI_ADDR_WIDTH [ipgui::add_param $IPINST -name "C_S00_AXI_ADDR_WIDTH" -parent ${Page_0}]
25   set_property tooltip {Width of S_AXI address bus} ${C_S00_AXI_ADDR_WIDTH}
26   ipgui::add_param $IPINST -name "C_S00_AXI_BASEADDR" -parent ${Page_0}
27   ipgui::add_param $IPINST -name "C_S00_AXI_HIGHADDR" -parent ${Page_0}
28
29
30 }
31
32 proc update_PARAM_VALUE.C_S_AXI_INTR_DATA_WIDTH { PARAM_VALUE.C_S_AXI_INTR_DATA_WIDTH } {
33         # Procedure called to update C_S_AXI_INTR_DATA_WIDTH when any of the dependent parameters in the arguments change
34 }
35
36 proc validate_PARAM_VALUE.C_S_AXI_INTR_DATA_WIDTH { PARAM_VALUE.C_S_AXI_INTR_DATA_WIDTH } {
37         # Procedure called to validate C_S_AXI_INTR_DATA_WIDTH
38         return true
39 }
40
41 proc update_PARAM_VALUE.C_S_AXI_INTR_ADDR_WIDTH { PARAM_VALUE.C_S_AXI_INTR_ADDR_WIDTH } {
42         # Procedure called to update C_S_AXI_INTR_ADDR_WIDTH when any of the dependent parameters in the arguments change
43 }
44
45 proc validate_PARAM_VALUE.C_S_AXI_INTR_ADDR_WIDTH { PARAM_VALUE.C_S_AXI_INTR_ADDR_WIDTH } {
46         # Procedure called to validate C_S_AXI_INTR_ADDR_WIDTH
47         return true
48 }
49
50 proc update_PARAM_VALUE.C_NUM_OF_INTR { PARAM_VALUE.C_NUM_OF_INTR } {
51         # Procedure called to update C_NUM_OF_INTR when any of the dependent parameters in the arguments change
52 }
53
54 proc validate_PARAM_VALUE.C_NUM_OF_INTR { PARAM_VALUE.C_NUM_OF_INTR } {
55         # Procedure called to validate C_NUM_OF_INTR
56         return true
57 }
58
59 proc update_PARAM_VALUE.C_INTR_SENSITIVITY { PARAM_VALUE.C_INTR_SENSITIVITY } {
60         # Procedure called to update C_INTR_SENSITIVITY when any of the dependent parameters in the arguments change
61 }
62
63 proc validate_PARAM_VALUE.C_INTR_SENSITIVITY { PARAM_VALUE.C_INTR_SENSITIVITY } {
64         # Procedure called to validate C_INTR_SENSITIVITY
65         return true
66 }
67
68 proc update_PARAM_VALUE.C_INTR_ACTIVE_STATE { PARAM_VALUE.C_INTR_ACTIVE_STATE } {
69         # Procedure called to update C_INTR_ACTIVE_STATE when any of the dependent parameters in the arguments change
70 }
71
72 proc validate_PARAM_VALUE.C_INTR_ACTIVE_STATE { PARAM_VALUE.C_INTR_ACTIVE_STATE } {
73         # Procedure called to validate C_INTR_ACTIVE_STATE
74         return true
75 }
76
77 proc update_PARAM_VALUE.C_IRQ_SENSITIVITY { PARAM_VALUE.C_IRQ_SENSITIVITY } {
78         # Procedure called to update C_IRQ_SENSITIVITY when any of the dependent parameters in the arguments change
79 }
80
81 proc validate_PARAM_VALUE.C_IRQ_SENSITIVITY { PARAM_VALUE.C_IRQ_SENSITIVITY } {
82         # Procedure called to validate C_IRQ_SENSITIVITY
83         return true
84 }
85
86 proc update_PARAM_VALUE.C_IRQ_ACTIVE_STATE { PARAM_VALUE.C_IRQ_ACTIVE_STATE } {
87         # Procedure called to update C_IRQ_ACTIVE_STATE when any of the dependent parameters in the arguments change
88 }
89
90 proc validate_PARAM_VALUE.C_IRQ_ACTIVE_STATE { PARAM_VALUE.C_IRQ_ACTIVE_STATE } {
91         # Procedure called to validate C_IRQ_ACTIVE_STATE
92         return true
93 }
94
95 proc update_PARAM_VALUE.C_S_AXI_INTR_BASEADDR { PARAM_VALUE.C_S_AXI_INTR_BASEADDR } {
96         # Procedure called to update C_S_AXI_INTR_BASEADDR when any of the dependent parameters in the arguments change
97 }
98
99 proc validate_PARAM_VALUE.C_S_AXI_INTR_BASEADDR { PARAM_VALUE.C_S_AXI_INTR_BASEADDR } {
100         # Procedure called to validate C_S_AXI_INTR_BASEADDR
101         return true
102 }
103
104 proc update_PARAM_VALUE.C_S_AXI_INTR_HIGHADDR { PARAM_VALUE.C_S_AXI_INTR_HIGHADDR } {
105         # Procedure called to update C_S_AXI_INTR_HIGHADDR when any of the dependent parameters in the arguments change
106 }
107
108 proc validate_PARAM_VALUE.C_S_AXI_INTR_HIGHADDR { PARAM_VALUE.C_S_AXI_INTR_HIGHADDR } {
109         # Procedure called to validate C_S_AXI_INTR_HIGHADDR
110         return true
111 }
112
113 proc update_PARAM_VALUE.C_S00_AXI_DATA_WIDTH { PARAM_VALUE.C_S00_AXI_DATA_WIDTH } {
114         # Procedure called to update C_S00_AXI_DATA_WIDTH when any of the dependent parameters in the arguments change
115 }
116
117 proc validate_PARAM_VALUE.C_S00_AXI_DATA_WIDTH { PARAM_VALUE.C_S00_AXI_DATA_WIDTH } {
118         # Procedure called to validate C_S00_AXI_DATA_WIDTH
119         return true
120 }
121
122 proc update_PARAM_VALUE.C_S00_AXI_ADDR_WIDTH { PARAM_VALUE.C_S00_AXI_ADDR_WIDTH } {
123         # Procedure called to update C_S00_AXI_ADDR_WIDTH when any of the dependent parameters in the arguments change
124 }
125
126 proc validate_PARAM_VALUE.C_S00_AXI_ADDR_WIDTH { PARAM_VALUE.C_S00_AXI_ADDR_WIDTH } {
127         # Procedure called to validate C_S00_AXI_ADDR_WIDTH
128         return true
129 }
130
131 proc update_PARAM_VALUE.C_S00_AXI_BASEADDR { PARAM_VALUE.C_S00_AXI_BASEADDR } {
132         # Procedure called to update C_S00_AXI_BASEADDR when any of the dependent parameters in the arguments change
133 }
134
135 proc validate_PARAM_VALUE.C_S00_AXI_BASEADDR { PARAM_VALUE.C_S00_AXI_BASEADDR } {
136         # Procedure called to validate C_S00_AXI_BASEADDR
137         return true
138 }
139
140 proc update_PARAM_VALUE.C_S00_AXI_HIGHADDR { PARAM_VALUE.C_S00_AXI_HIGHADDR } {
141         # Procedure called to update C_S00_AXI_HIGHADDR when any of the dependent parameters in the arguments change
142 }
143
144 proc validate_PARAM_VALUE.C_S00_AXI_HIGHADDR { PARAM_VALUE.C_S00_AXI_HIGHADDR } {
145         # Procedure called to validate C_S00_AXI_HIGHADDR
146         return true
147 }
148
149
150 proc update_MODELPARAM_VALUE.C_S_AXI_INTR_DATA_WIDTH { MODELPARAM_VALUE.C_S_AXI_INTR_DATA_WIDTH PARAM_VALUE.C_S_AXI_INTR_DATA_WIDTH } {
151         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
152         set_property value [get_property value ${PARAM_VALUE.C_S_AXI_INTR_DATA_WIDTH}] ${MODELPARAM_VALUE.C_S_AXI_INTR_DATA_WIDTH}
153 }
154
155 proc update_MODELPARAM_VALUE.C_S_AXI_INTR_ADDR_WIDTH { MODELPARAM_VALUE.C_S_AXI_INTR_ADDR_WIDTH PARAM_VALUE.C_S_AXI_INTR_ADDR_WIDTH } {
156         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
157         set_property value [get_property value ${PARAM_VALUE.C_S_AXI_INTR_ADDR_WIDTH}] ${MODELPARAM_VALUE.C_S_AXI_INTR_ADDR_WIDTH}
158 }
159
160 proc update_MODELPARAM_VALUE.C_NUM_OF_INTR { MODELPARAM_VALUE.C_NUM_OF_INTR PARAM_VALUE.C_NUM_OF_INTR } {
161         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
162         set_property value [get_property value ${PARAM_VALUE.C_NUM_OF_INTR}] ${MODELPARAM_VALUE.C_NUM_OF_INTR}
163 }
164
165 proc update_MODELPARAM_VALUE.C_INTR_SENSITIVITY { MODELPARAM_VALUE.C_INTR_SENSITIVITY PARAM_VALUE.C_INTR_SENSITIVITY } {
166         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
167         set_property value [get_property value ${PARAM_VALUE.C_INTR_SENSITIVITY}] ${MODELPARAM_VALUE.C_INTR_SENSITIVITY}
168 }
169
170 proc update_MODELPARAM_VALUE.C_INTR_ACTIVE_STATE { MODELPARAM_VALUE.C_INTR_ACTIVE_STATE PARAM_VALUE.C_INTR_ACTIVE_STATE } {
171         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
172         set_property value [get_property value ${PARAM_VALUE.C_INTR_ACTIVE_STATE}] ${MODELPARAM_VALUE.C_INTR_ACTIVE_STATE}
173 }
174
175 proc update_MODELPARAM_VALUE.C_IRQ_SENSITIVITY { MODELPARAM_VALUE.C_IRQ_SENSITIVITY PARAM_VALUE.C_IRQ_SENSITIVITY } {
176         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
177         set_property value [get_property value ${PARAM_VALUE.C_IRQ_SENSITIVITY}] ${MODELPARAM_VALUE.C_IRQ_SENSITIVITY}
178 }
179
180 proc update_MODELPARAM_VALUE.C_IRQ_ACTIVE_STATE { MODELPARAM_VALUE.C_IRQ_ACTIVE_STATE PARAM_VALUE.C_IRQ_ACTIVE_STATE } {
181         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
182         set_property value [get_property value ${PARAM_VALUE.C_IRQ_ACTIVE_STATE}] ${MODELPARAM_VALUE.C_IRQ_ACTIVE_STATE}
183 }
184
185 proc update_MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH { MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH PARAM_VALUE.C_S00_AXI_DATA_WIDTH } {
186         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
187         set_property value [get_property value ${PARAM_VALUE.C_S00_AXI_DATA_WIDTH}] ${MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH}
188 }
189
190 proc update_MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH { MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH PARAM_VALUE.C_S00_AXI_ADDR_WIDTH } {
191         # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
192         set_property value [get_property value ${PARAM_VALUE.C_S00_AXI_ADDR_WIDTH}] ${MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH}
193 }
194