]> rtime.felk.cvut.cz Git - fpga/virtex2/msp_motion.git/blobdiff - msp_motion.prj
Links to build documentation added.
[fpga/virtex2/msp_motion.git] / msp_motion.prj
index cc4dec7a84ac019c236280ba38bff10f97435abf..af5a6358ff8e4cbca4189f6439ed0eb82bbd8a34 100644 (file)
@@ -33,6 +33,43 @@ verilog work openmsp430/top/top_8_32_mul_dbus/openMSP430_defines.v
 vhdl    work openmsp430/top/top_8_32_mul_dbus/openMSP430_8_32_mul_dbus.vhd
 
 
+#==============================================================================#
+# Motion Control Chain - MCC                                                   #
+#==============================================================================#
+
+vhdl    work pwm/counter.vhd
+vhdl    work pwm/pwm.vhd
+vhdl    work pwm/wave_table.vhd
+vhdl    work pwm/vector_gen.vhd
+vhdl    work pwm/priority_encoder.vhd
+vhdl    work pwm/mcc_master.vhd
+vhdl    work pwm/sequencer.vhd
+vhdl    work pwm/multiplier.vhd
+vhdl    work pwm/vector_scale.vhd
+vhdl    work pwm/pwm_min.vhd
+vhdl    work pwm/pwm_min_dump.vhd
+vhdl    work pwm/irc_dump.vhd
+vhdl    work pwm/irc_base.vhd
+vhdl    work pwm/mcc.vhd
+vhdl    work pwm/mcc_exec.vhd
+
+
+#==============================================================================#
+# Quadcount - IRC                                                              #
+#==============================================================================#
+
+vhdl    work quadcount/dff.vhd
+vhdl    work quadcount/qcounter.vhd
+
+
+#==============================================================================#
+# MCU peripherals                                                              #
+#==============================================================================#
+
+vhdl    work mcu_periph/gpio.vhd
+vhdl    work mcu_periph/qcounter_mcu16.vhd
+
+
 #==============================================================================#
 # Top-level design file                                                        #
 #==============================================================================#